From be609eaa5d8eb9825c52c11f889d17f1b5014c42 Mon Sep 17 00:00:00 2001 From: Colin Date: Mon, 12 May 2025 02:06:47 +0800 Subject: [PATCH] Update nitefury_pcie_xdma_ddr/project. --- nitefury_pcie_xdma_ddr/project/o.tcl | 133 +- nitefury_pcie_xdma_ddr/project/sources/Top.bd | 13 +- .../project/sources/Top.bda | 108 +- nitefury_pcie_xdma_ddr/project/sources/Top.v | 788 ++++++++ .../ip/Top_auto_cc_0/Top_auto_cc_0.xci | 10 +- .../ip/Top_auto_cc_1/Top_auto_cc_1.xci | 12 +- .../ip/Top_auto_cc_2/Top_auto_cc_2.xci | 12 +- .../ip/Top_auto_cc_3/Top_auto_cc_3.xci | 12 +- .../ip/Top_auto_ds_0/Top_auto_ds_0.xci | 8 +- .../ip/Top_auto_ds_1/Top_auto_ds_1.xci | 8 +- .../ip/Top_auto_ds_2/Top_auto_ds_2.xci | 14 +- .../ip/Top_auto_pc_0/Top_auto_pc_0.xci | 8 +- .../ip/Top_auto_pc_1/Top_auto_pc_1.xci | 8 +- .../ip/Top_auto_us_0/Top_auto_us_0.xci | 14 +- .../Top_axi_bram_ctrl_0_0.xci | 8 +- .../Top_axi_interconnect_0_0.xci | 4 +- .../Top_blk_mem_gen_0_0.xci | 4 +- .../Top_mig_7series_1_0.xci | 6 +- .../Top_util_ds_buf_0_0.xci | 4 +- .../Top_util_vector_logic_1_3.xci | 4 +- .../Top_util_vector_logic_1_4.xci | 4 +- .../sources/ip/Top_xbar_0/Top_xbar_0.xci | 18 +- .../sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci | 4 +- .../sources/ip/Top_xdma_1_1/Top_xdma_1_1.xci | 1592 +++++++++++++++++ .../Top_xlconstant_0_0/Top_xlconstant_0_0.xci | 4 +- .../Top_xlconstant_0_1/Top_xlconstant_0_1.xci | 51 + .../Top_xlconstant_2_0/Top_xlconstant_2_0.xci | 4 +- .../axi_interconnect_0/axi_interconnect_0.xci | 1297 ++++++++++++++ 28 files changed, 3977 insertions(+), 175 deletions(-) create mode 100644 nitefury_pcie_xdma_ddr/project/sources/Top.v create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_1/Top_xdma_1_1.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_1/Top_xlconstant_0_1.xci create mode 100644 nitefury_pcie_xdma_ddr/project/sources/ip/axi_interconnect_0/axi_interconnect_0.xci diff --git a/nitefury_pcie_xdma_ddr/project/o.tcl b/nitefury_pcie_xdma_ddr/project/o.tcl index e5deaf9..3a9a8ab 100644 --- a/nitefury_pcie_xdma_ddr/project/o.tcl +++ b/nitefury_pcie_xdma_ddr/project/o.tcl @@ -5,107 +5,96 @@ set_property SOURCE_MGMT_MODE None [current_project] set_property STEPS.SYNTH_DESIGN.ARGS.ASSERT true [get_runs synth_1] set_property PART xc7k480tffg1156-2L [current_project] +set_param general.maxThreads 16 -# # 生成IP核(以AXI UART Lite为例) -# create_ip -name axi_uartlite -vendor xilinx.com -library ip -version 2.0 -module_name uart_inst -# # 配置IP参数 -# set_property -dict [list \ -# CONFIG.C_BAUDRATE {115200} \ -# CONFIG.C_S_AXI_ACLK_FREQ_HZ {100000000} \ -# CONFIG.C_DATA_BITS {8} \ -# CONFIG.C_USE_PARITY {0} \ -# ] [get_ips uart_inst] create_ip -name axi_interconnect -vendor xilinx.com -library ip -version 1.7 -module_name axi_interconnect_0 +set_property -dict [list \ + CONFIG.NUM_SLAVE_PORTS {5} \ +] [get_ips axi_interconnect_0] generate_target -force all [get_ips axi_interconnect_0] synth_ip [get_ips axi_interconnect_0] -# add_file ../uart_inst.xci -# import_ip ../sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci -# import_ip ../sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci -# import_ip ../sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci -import_ip ../sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci - -# upgrade_ip [get_ips Top_axi_interconnect_0_0] -# # set_property GENERATE_SYNTH_CHECKPOINT true Top_axi_interconnect_0_0 -# generate_target -force all [get_ips Top_axi_interconnect_0_0] -# synth_ip [get_ips Top_axi_interconnect_0_0] +import_ip ../sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci +import_ip ../sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci +import_ip ../sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci +# import_ip ../sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci +import_ip ../sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci +import_ip ../sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci +import_ip ../sources/ip/Top_xbar_0/Top_xbar_0.xci +import_ip ../sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci +import_ip ../sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci +import_ip ../sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci +import_ip ../sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci -# import_ip ../sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci -# import_ip ../sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci -# import_ip ../sources/ip/Top_xbar_0/Top_xbar_0.xci -# import_ip ../sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci -# import_ip ../sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci -# import_ip ../sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci -# import_ip ../sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci - -# generate_target all [get_ips Top_axi_bram_ctrl_0_0] -# generate_target all [get_ips Top_util_vector_logic_1_3] -# generate_target all [get_ips Top_xlconstant_2_0] +generate_target all [get_ips Top_axi_bram_ctrl_0_0] +generate_target all [get_ips Top_util_vector_logic_1_3] +generate_target all [get_ips Top_xlconstant_2_0] # generate_target all [get_ips Top_axi_interconnect_0_0] -# generate_target all [get_ips Top_util_vector_logic_1_4] -# generate_target all [get_ips Top_blk_mem_gen_0_0] -# generate_target all [get_ips Top_xbar_0] -# generate_target all [get_ips Top_mig_7series_1_0] -# generate_target all [get_ips Top_xdma_1_0] -# generate_target all [get_ips Top_util_ds_buf_0_0] -# generate_target all [get_ips Top_xlconstant_0_0] +generate_target all [get_ips Top_util_vector_logic_1_4] +generate_target all [get_ips Top_blk_mem_gen_0_0] +generate_target all [get_ips Top_xbar_0] +generate_target all [get_ips Top_xdma_1_0] +generate_target all [get_ips Top_util_ds_buf_0_0] +generate_target all [get_ips Top_xlconstant_0_0] +generate_target all [get_ips Top_mig_7series_1_0] -# synth_ip [get_ips Top_axi_bram_ctrl_0_0] -# synth_ip [get_ips Top_util_vector_logic_1_3] -# synth_ip [get_ips Top_xlconstant_2_0] +synth_ip [get_ips Top_axi_bram_ctrl_0_0] +synth_ip [get_ips Top_util_vector_logic_1_3] +synth_ip [get_ips Top_xlconstant_2_0] # synth_ip [get_ips Top_axi_interconnect_0_0] -# synth_ip [get_ips Top_util_vector_logic_1_4] -# synth_ip [get_ips Top_blk_mem_gen_0_0] -# synth_ip [get_ips Top_xbar_0] -# synth_ip [get_ips Top_mig_7series_1_0] -# synth_ip [get_ips Top_xdma_1_0] -# synth_ip [get_ips Top_util_ds_buf_0_0] -# synth_ip [get_ips Top_xlconstant_0_0] +synth_ip [get_ips Top_util_vector_logic_1_4] +synth_ip [get_ips Top_blk_mem_gen_0_0] +synth_ip [get_ips Top_xbar_0] +synth_ip [get_ips Top_xdma_1_0] +synth_ip [get_ips Top_util_ds_buf_0_0] +synth_ip [get_ips Top_xlconstant_0_0] +synth_ip [get_ips Top_mig_7series_1_0] -# add_file ../sources/Top_wrapper.v +add_file ../sources/Top_wrapper.v +add_file ../sources/Top.v # add_file ../sources/Top.bd -# add_file -fileset constrs_1 ../normal.xdc +add_file -fileset constrs_1 ../normal.xdc -# set_property TOP Top_wrapper [current_fileset] +set_property TOP Top_wrapper [current_fileset] -# set_property GENERIC { FREQ=100000000 SECS=1 } -objects [get_filesets sources_1] +set_property GENERIC { FREQ=100000000 SECS=1 } -objects [get_filesets sources_1] -# close_project +close_project -# open_project my_project +open_project my_project -# # Synthesis +# Synthesis -# # PRESYNTH -# # set_property DESIGN_MODE GateLvl [current_fileset] -# reset_run synth_1 -# launch_runs synth_1 -# wait_on_run synth_1 -# #report_property [get_runs synth_1] -# if { [get_property STATUS [get_runs synth_1]] ne "synth_design Complete!" } { exit 1 } +# PRESYNTH +# set_property DESIGN_MODE GateLvl [current_fileset] +reset_run synth_1 +launch_runs synth_1 -jobs 16 +wait_on_run synth_1 +#report_property [get_runs synth_1] +if { [get_property STATUS [get_runs synth_1]] ne "synth_design Complete!" } { exit 1 } -# # Place and Route +# Place and Route -# reset_run impl_1 -# launch_runs impl_1 -# wait_on_run impl_1 -# #report_property [get_runs impl_1] -# if { [get_property STATUS [get_runs impl_1]] ne "route_design Complete!" } { exit 1 } +reset_run impl_1 +launch_runs impl_1 -jobs 16 +wait_on_run impl_1 +#report_property [get_runs impl_1] +if { [get_property STATUS [get_runs impl_1]] ne "route_design Complete!" } { exit 1 } -# # Bitstream generation +# Bitstream generation -# open_run impl_1 -# # write_bitstream -force xdma480t -# # write_debug_probes -force -quiet xdma480t.ltx +open_run impl_1 +# write_bitstream -force xdma480t +# write_debug_probes -force -quiet xdma480t.ltx -# close_project +close_project diff --git a/nitefury_pcie_xdma_ddr/project/sources/Top.bd b/nitefury_pcie_xdma_ddr/project/sources/Top.bd index 6f0a9b0..ff139be 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/Top.bd +++ b/nitefury_pcie_xdma_ddr/project/sources/Top.bd @@ -3,7 +3,7 @@ "design_info": { "boundary_crc": "0x8F1AA258A84BB33F", "device": "xc7k480tffg1156-2L", - "gen_directory": "../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top", + "gen_directory": "../../../build/my_project.gen/sources_1", "name": "Top", "rev_ctrl_bd_flag": "RevCtrlBdOff", "synth_flow_mode": "Hierarchical", @@ -444,8 +444,8 @@ "components": { "xdma_1": { "vlnv": "xilinx.com:ip:xdma:4.1", - "xci_name": "Top_xdma_1_0", - "xci_path": "ip/Top_xdma_1_0/Top_xdma_1_0.xci", + "xci_name": "Top_xdma_1_1", + "xci_path": "ip/Top_xdma_1_1/Top_xdma_1_1.xci", "inst_hier_path": "xdma_1", "parameters": { "pl_link_cap_max_link_speed": { @@ -1605,9 +1605,6 @@ "BOARD_MIG_PARAM": { "value": "Custom" }, - "MIG_DONT_TOUCH_PARAM": { - "value": "Custom" - }, "RESET_BOARD_INTERFACE": { "value": "Custom" }, @@ -1629,8 +1626,8 @@ }, "xlconstant_0": { "vlnv": "xilinx.com:ip:xlconstant:1.1", - "xci_name": "Top_xlconstant_0_0", - "xci_path": "ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci", + "xci_name": "Top_xlconstant_0_1", + "xci_path": "ip/Top_xlconstant_0_1/Top_xlconstant_0_1.xci", "inst_hier_path": "xlconstant_0" }, "xlconstant_2": { diff --git a/nitefury_pcie_xdma_ddr/project/sources/Top.bda b/nitefury_pcie_xdma_ddr/project/sources/Top.bda index 7d3c147..303a37e 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/Top.bda +++ b/nitefury_pcie_xdma_ddr/project/sources/Top.bda @@ -23,6 +23,44 @@ + 0x0000000100100000 + C_BASEADDR + 0x00000001001FFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c1_s_axi_ctrl_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S1_AXI_CTRL + c1_s_axi_ctrl_memmap + c1_s_axi_ctrl_memaddr + xilinx.com:ip:mig_7series:4.2 + register + AC + + + 0x0000000000000000 + C_BASEADDR + 0x000000007FFFFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c1_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S1_AXI + c1_memmap + c1_memaddr + xilinx.com:ip:mig_7series:4.2 + memory + AC + + 0x0000000200000000 C_S_AXI_BASEADDR 0x0000000200001FFF @@ -40,23 +78,73 @@ memory AC - + Top BC - - active - 2 - PM - - + 2 Top VR - - - + + 0x0000000080000000 + C_BASEADDR + 0x00000000FFFFFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c0_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S0_AXI + c0_memmap + c0_memaddr + xilinx.com:ip:mig_7series:4.2 + memory + AC + + + 0x0000000100000000 + C_BASEADDR + 0x00000001000FFFFF + C_HIGHADDR + M_AXI + /xdma_1 + M_AXI + SEG_mig_7series_1_c0_s_axi_ctrl_memaddr + xilinx.com:ip:xdma:4.1 + both + /mig_7series_1 + S0_AXI_CTRL + c0_s_axi_ctrl_memmap + c0_s_axi_ctrl_memaddr + xilinx.com:ip:mig_7series:4.2 + register + AC + + + active + 2 + PM + + + + + 2 + + + 2 + + + 2 + + + 2 + + 2 diff --git a/nitefury_pcie_xdma_ddr/project/sources/Top.v b/nitefury_pcie_xdma_ddr/project/sources/Top.v new file mode 100644 index 0000000..3fac69a --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/Top.v @@ -0,0 +1,788 @@ +//Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +//-------------------------------------------------------------------------------- +//Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022 +//Date : Mon May 12 00:48:27 2025 +//Host : deve running 64-bit Ubuntu 22.04.5 LTS +//Command : generate_target Top.bd +//Design : Top +//Purpose : IP block netlist +//-------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CORE_GENERATION_INFO = "Top,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=Top,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=27,numReposBlks=20,numNonXlnxBlks=0,numHierBlks=7,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}" *) (* HW_HANDOFF = "Top.hwdef" *) +module Top + (C0_DDR3_0_addr, + C0_DDR3_0_ba, + C0_DDR3_0_cas_n, + C0_DDR3_0_ck_n, + C0_DDR3_0_ck_p, + C0_DDR3_0_cke, + C0_DDR3_0_cs_n, + C0_DDR3_0_dq, + C0_DDR3_0_dqs_n, + C0_DDR3_0_dqs_p, + C0_DDR3_0_odt, + C0_DDR3_0_ras_n, + C0_DDR3_0_reset_n, + C0_DDR3_0_we_n, + C0_SYS_CLK_0_clk_n, + C0_SYS_CLK_0_clk_p, + C1_DDR3_0_addr, + C1_DDR3_0_ba, + C1_DDR3_0_cas_n, + C1_DDR3_0_ck_n, + C1_DDR3_0_ck_p, + C1_DDR3_0_cke, + C1_DDR3_0_cs_n, + C1_DDR3_0_dq, + C1_DDR3_0_dqs_n, + C1_DDR3_0_dqs_p, + C1_DDR3_0_odt, + C1_DDR3_0_ras_n, + C1_DDR3_0_reset_n, + C1_DDR3_0_we_n, + C1_SYS_CLK_0_clk_n, + C1_SYS_CLK_0_clk_p, + pci_reset, + pcie_clkin_clk_n, + pcie_clkin_clk_p, + pcie_mgt_0_rxn, + pcie_mgt_0_rxp, + pcie_mgt_0_txn, + pcie_mgt_0_txp, + user_lnk_up_0); + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 ADDR" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME C0_DDR3_0, AXI_ARBITRATION_SCHEME TDM, BURST_LENGTH 8, CAN_DEBUG false, CAS_LATENCY 11, CAS_WRITE_LATENCY 11, CS_ENABLED true, DATA_MASK_ENABLED true, DATA_WIDTH 8, MEMORY_TYPE COMPONENTS, MEM_ADDR_MAP ROW_COLUMN_BANK, SLOT Single, TIMEPERIOD_PS 1250" *) output [14:0]C0_DDR3_0_addr; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 BA" *) output [2:0]C0_DDR3_0_ba; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 CAS_N" *) output C0_DDR3_0_cas_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 CK_N" *) output [0:0]C0_DDR3_0_ck_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 CK_P" *) output [0:0]C0_DDR3_0_ck_p; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 CKE" *) output [0:0]C0_DDR3_0_cke; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 CS_N" *) output [0:0]C0_DDR3_0_cs_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 DQ" *) inout [71:0]C0_DDR3_0_dq; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 DQS_N" *) inout [8:0]C0_DDR3_0_dqs_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 DQS_P" *) inout [8:0]C0_DDR3_0_dqs_p; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 ODT" *) output [0:0]C0_DDR3_0_odt; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 RAS_N" *) output C0_DDR3_0_ras_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 RESET_N" *) output C0_DDR3_0_reset_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C0_DDR3_0 WE_N" *) output C0_DDR3_0_we_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:diff_clock:1.0 C0_SYS_CLK_0 CLK_N" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME C0_SYS_CLK_0, CAN_DEBUG false, FREQ_HZ 100000000" *) input C0_SYS_CLK_0_clk_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:diff_clock:1.0 C0_SYS_CLK_0 CLK_P" *) input C0_SYS_CLK_0_clk_p; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 ADDR" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME C1_DDR3_0, AXI_ARBITRATION_SCHEME TDM, BURST_LENGTH 8, CAN_DEBUG false, CAS_LATENCY 11, CAS_WRITE_LATENCY 11, CS_ENABLED true, DATA_MASK_ENABLED true, DATA_WIDTH 8, MEMORY_TYPE COMPONENTS, MEM_ADDR_MAP ROW_COLUMN_BANK, SLOT Single, TIMEPERIOD_PS 1250" *) output [14:0]C1_DDR3_0_addr; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 BA" *) output [2:0]C1_DDR3_0_ba; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 CAS_N" *) output C1_DDR3_0_cas_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 CK_N" *) output [0:0]C1_DDR3_0_ck_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 CK_P" *) output [0:0]C1_DDR3_0_ck_p; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 CKE" *) output [0:0]C1_DDR3_0_cke; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 CS_N" *) output [0:0]C1_DDR3_0_cs_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 DQ" *) inout [71:0]C1_DDR3_0_dq; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 DQS_N" *) inout [8:0]C1_DDR3_0_dqs_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 DQS_P" *) inout [8:0]C1_DDR3_0_dqs_p; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 ODT" *) output [0:0]C1_DDR3_0_odt; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 RAS_N" *) output C1_DDR3_0_ras_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 RESET_N" *) output C1_DDR3_0_reset_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:ddrx:1.0 C1_DDR3_0 WE_N" *) output C1_DDR3_0_we_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:diff_clock:1.0 C1_SYS_CLK_0 CLK_N" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME C1_SYS_CLK_0, CAN_DEBUG false, FREQ_HZ 100000000" *) input C1_SYS_CLK_0_clk_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:diff_clock:1.0 C1_SYS_CLK_0 CLK_P" *) input C1_SYS_CLK_0_clk_p; + (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST.PCI_RESET RST" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME RST.PCI_RESET, INSERT_VIP 0, POLARITY ACTIVE_LOW" *) input pci_reset; + (* X_INTERFACE_INFO = "xilinx.com:interface:diff_clock:1.0 pcie_clkin CLK_N" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME pcie_clkin, CAN_DEBUG false, FREQ_HZ 100000000" *) input [0:0]pcie_clkin_clk_n; + (* X_INTERFACE_INFO = "xilinx.com:interface:diff_clock:1.0 pcie_clkin CLK_P" *) input [0:0]pcie_clkin_clk_p; + (* X_INTERFACE_INFO = "xilinx.com:interface:pcie_7x_mgt:1.0 pcie_mgt_0 rxn" *) input [0:0]pcie_mgt_0_rxn; + (* X_INTERFACE_INFO = "xilinx.com:interface:pcie_7x_mgt:1.0 pcie_mgt_0 rxp" *) input [0:0]pcie_mgt_0_rxp; + (* X_INTERFACE_INFO = "xilinx.com:interface:pcie_7x_mgt:1.0 pcie_mgt_0 txn" *) output [0:0]pcie_mgt_0_txn; + (* X_INTERFACE_INFO = "xilinx.com:interface:pcie_7x_mgt:1.0 pcie_mgt_0 txp" *) output [0:0]pcie_mgt_0_txp; + output user_lnk_up_0; + + wire C0_SYS_CLK_0_1_CLK_N; + wire C0_SYS_CLK_0_1_CLK_P; + wire C1_SYS_CLK_0_1_CLK_N; + wire C1_SYS_CLK_0_1_CLK_P; + wire [7:0]M00_ARESETN_2; + wire [63:0]S00_AXI_1_ARADDR; + wire [1:0]S00_AXI_1_ARBURST; + wire [3:0]S00_AXI_1_ARCACHE; + wire [3:0]S00_AXI_1_ARID; + wire [7:0]S00_AXI_1_ARLEN; + wire S00_AXI_1_ARLOCK; + wire [2:0]S00_AXI_1_ARPROT; + wire S00_AXI_1_ARREADY; + wire [2:0]S00_AXI_1_ARSIZE; + wire S00_AXI_1_ARVALID; + wire [63:0]S00_AXI_1_AWADDR; + wire [1:0]S00_AXI_1_AWBURST; + wire [3:0]S00_AXI_1_AWCACHE; + wire [3:0]S00_AXI_1_AWID; + wire [7:0]S00_AXI_1_AWLEN; + wire S00_AXI_1_AWLOCK; + wire [2:0]S00_AXI_1_AWPROT; + wire S00_AXI_1_AWREADY; + wire [2:0]S00_AXI_1_AWSIZE; + wire S00_AXI_1_AWVALID; + wire [3:0]S00_AXI_1_BID; + wire S00_AXI_1_BREADY; + wire [1:0]S00_AXI_1_BRESP; + wire S00_AXI_1_BVALID; + wire [63:0]S00_AXI_1_RDATA; + wire [3:0]S00_AXI_1_RID; + wire S00_AXI_1_RLAST; + wire S00_AXI_1_RREADY; + wire [1:0]S00_AXI_1_RRESP; + wire S00_AXI_1_RVALID; + wire [63:0]S00_AXI_1_WDATA; + wire S00_AXI_1_WLAST; + wire S00_AXI_1_WREADY; + wire [7:0]S00_AXI_1_WSTRB; + wire S00_AXI_1_WVALID; + wire [12:0]axi_bram_ctrl_0_BRAM_PORTA_ADDR; + wire axi_bram_ctrl_0_BRAM_PORTA_CLK; + wire [31:0]axi_bram_ctrl_0_BRAM_PORTA_DIN; + wire [31:0]axi_bram_ctrl_0_BRAM_PORTA_DOUT; + wire axi_bram_ctrl_0_BRAM_PORTA_EN; + wire axi_bram_ctrl_0_BRAM_PORTA_RST; + wire [3:0]axi_bram_ctrl_0_BRAM_PORTA_WE; + wire [31:0]axi_interconnect_0_M00_AXI_ARADDR; + wire axi_interconnect_0_M00_AXI_ARREADY; + wire axi_interconnect_0_M00_AXI_ARVALID; + wire [31:0]axi_interconnect_0_M00_AXI_AWADDR; + wire axi_interconnect_0_M00_AXI_AWREADY; + wire axi_interconnect_0_M00_AXI_AWVALID; + wire axi_interconnect_0_M00_AXI_BREADY; + wire [1:0]axi_interconnect_0_M00_AXI_BRESP; + wire axi_interconnect_0_M00_AXI_BVALID; + wire [31:0]axi_interconnect_0_M00_AXI_RDATA; + wire axi_interconnect_0_M00_AXI_RREADY; + wire [1:0]axi_interconnect_0_M00_AXI_RRESP; + wire axi_interconnect_0_M00_AXI_RVALID; + wire [31:0]axi_interconnect_0_M00_AXI_WDATA; + wire axi_interconnect_0_M00_AXI_WREADY; + wire axi_interconnect_0_M00_AXI_WVALID; + wire [30:0]axi_interconnect_0_M01_AXI_ARADDR; + wire [1:0]axi_interconnect_0_M01_AXI_ARBURST; + wire [3:0]axi_interconnect_0_M01_AXI_ARCACHE; + wire [7:0]axi_interconnect_0_M01_AXI_ARLEN; + wire axi_interconnect_0_M01_AXI_ARLOCK; + wire [2:0]axi_interconnect_0_M01_AXI_ARPROT; + wire [3:0]axi_interconnect_0_M01_AXI_ARQOS; + wire axi_interconnect_0_M01_AXI_ARREADY; + wire [2:0]axi_interconnect_0_M01_AXI_ARSIZE; + wire axi_interconnect_0_M01_AXI_ARVALID; + wire [30:0]axi_interconnect_0_M01_AXI_AWADDR; + wire [1:0]axi_interconnect_0_M01_AXI_AWBURST; + wire [3:0]axi_interconnect_0_M01_AXI_AWCACHE; + wire [7:0]axi_interconnect_0_M01_AXI_AWLEN; + wire axi_interconnect_0_M01_AXI_AWLOCK; + wire [2:0]axi_interconnect_0_M01_AXI_AWPROT; + wire [3:0]axi_interconnect_0_M01_AXI_AWQOS; + wire axi_interconnect_0_M01_AXI_AWREADY; + wire [2:0]axi_interconnect_0_M01_AXI_AWSIZE; + wire axi_interconnect_0_M01_AXI_AWVALID; + wire axi_interconnect_0_M01_AXI_BREADY; + wire [1:0]axi_interconnect_0_M01_AXI_BRESP; + wire axi_interconnect_0_M01_AXI_BVALID; + wire [511:0]axi_interconnect_0_M01_AXI_RDATA; + wire axi_interconnect_0_M01_AXI_RLAST; + wire axi_interconnect_0_M01_AXI_RREADY; + wire [1:0]axi_interconnect_0_M01_AXI_RRESP; + wire axi_interconnect_0_M01_AXI_RVALID; + wire [511:0]axi_interconnect_0_M01_AXI_WDATA; + wire axi_interconnect_0_M01_AXI_WLAST; + wire axi_interconnect_0_M01_AXI_WREADY; + wire [63:0]axi_interconnect_0_M01_AXI_WSTRB; + wire axi_interconnect_0_M01_AXI_WVALID; + wire [31:0]axi_interconnect_0_M02_AXI_ARADDR; + wire axi_interconnect_0_M02_AXI_ARREADY; + wire axi_interconnect_0_M02_AXI_ARVALID; + wire [31:0]axi_interconnect_0_M02_AXI_AWADDR; + wire axi_interconnect_0_M02_AXI_AWREADY; + wire axi_interconnect_0_M02_AXI_AWVALID; + wire axi_interconnect_0_M02_AXI_BREADY; + wire [1:0]axi_interconnect_0_M02_AXI_BRESP; + wire axi_interconnect_0_M02_AXI_BVALID; + wire [31:0]axi_interconnect_0_M02_AXI_RDATA; + wire axi_interconnect_0_M02_AXI_RREADY; + wire [1:0]axi_interconnect_0_M02_AXI_RRESP; + wire axi_interconnect_0_M02_AXI_RVALID; + wire [31:0]axi_interconnect_0_M02_AXI_WDATA; + wire axi_interconnect_0_M02_AXI_WREADY; + wire axi_interconnect_0_M02_AXI_WVALID; + wire [30:0]axi_interconnect_0_M03_AXI_ARADDR; + wire [1:0]axi_interconnect_0_M03_AXI_ARBURST; + wire [3:0]axi_interconnect_0_M03_AXI_ARCACHE; + wire [7:0]axi_interconnect_0_M03_AXI_ARLEN; + wire axi_interconnect_0_M03_AXI_ARLOCK; + wire [2:0]axi_interconnect_0_M03_AXI_ARPROT; + wire [3:0]axi_interconnect_0_M03_AXI_ARQOS; + wire axi_interconnect_0_M03_AXI_ARREADY; + wire [2:0]axi_interconnect_0_M03_AXI_ARSIZE; + wire axi_interconnect_0_M03_AXI_ARVALID; + wire [30:0]axi_interconnect_0_M03_AXI_AWADDR; + wire [1:0]axi_interconnect_0_M03_AXI_AWBURST; + wire [3:0]axi_interconnect_0_M03_AXI_AWCACHE; + wire [7:0]axi_interconnect_0_M03_AXI_AWLEN; + wire axi_interconnect_0_M03_AXI_AWLOCK; + wire [2:0]axi_interconnect_0_M03_AXI_AWPROT; + wire [3:0]axi_interconnect_0_M03_AXI_AWQOS; + wire axi_interconnect_0_M03_AXI_AWREADY; + wire [2:0]axi_interconnect_0_M03_AXI_AWSIZE; + wire axi_interconnect_0_M03_AXI_AWVALID; + wire axi_interconnect_0_M03_AXI_BREADY; + wire [1:0]axi_interconnect_0_M03_AXI_BRESP; + wire axi_interconnect_0_M03_AXI_BVALID; + wire [511:0]axi_interconnect_0_M03_AXI_RDATA; + wire axi_interconnect_0_M03_AXI_RLAST; + wire axi_interconnect_0_M03_AXI_RREADY; + wire [1:0]axi_interconnect_0_M03_AXI_RRESP; + wire axi_interconnect_0_M03_AXI_RVALID; + wire [511:0]axi_interconnect_0_M03_AXI_WDATA; + wire axi_interconnect_0_M03_AXI_WLAST; + wire axi_interconnect_0_M03_AXI_WREADY; + wire [63:0]axi_interconnect_0_M03_AXI_WSTRB; + wire axi_interconnect_0_M03_AXI_WVALID; + wire [12:0]axi_interconnect_0_M04_AXI_ARADDR; + wire [1:0]axi_interconnect_0_M04_AXI_ARBURST; + wire [3:0]axi_interconnect_0_M04_AXI_ARCACHE; + wire [7:0]axi_interconnect_0_M04_AXI_ARLEN; + wire axi_interconnect_0_M04_AXI_ARLOCK; + wire [2:0]axi_interconnect_0_M04_AXI_ARPROT; + wire axi_interconnect_0_M04_AXI_ARREADY; + wire [2:0]axi_interconnect_0_M04_AXI_ARSIZE; + wire axi_interconnect_0_M04_AXI_ARVALID; + wire [12:0]axi_interconnect_0_M04_AXI_AWADDR; + wire [1:0]axi_interconnect_0_M04_AXI_AWBURST; + wire [3:0]axi_interconnect_0_M04_AXI_AWCACHE; + wire [7:0]axi_interconnect_0_M04_AXI_AWLEN; + wire axi_interconnect_0_M04_AXI_AWLOCK; + wire [2:0]axi_interconnect_0_M04_AXI_AWPROT; + wire axi_interconnect_0_M04_AXI_AWREADY; + wire [2:0]axi_interconnect_0_M04_AXI_AWSIZE; + wire axi_interconnect_0_M04_AXI_AWVALID; + wire axi_interconnect_0_M04_AXI_BREADY; + wire [1:0]axi_interconnect_0_M04_AXI_BRESP; + wire axi_interconnect_0_M04_AXI_BVALID; + wire [31:0]axi_interconnect_0_M04_AXI_RDATA; + wire axi_interconnect_0_M04_AXI_RLAST; + wire axi_interconnect_0_M04_AXI_RREADY; + wire [1:0]axi_interconnect_0_M04_AXI_RRESP; + wire axi_interconnect_0_M04_AXI_RVALID; + wire [31:0]axi_interconnect_0_M04_AXI_WDATA; + wire axi_interconnect_0_M04_AXI_WLAST; + wire axi_interconnect_0_M04_AXI_WREADY; + wire [3:0]axi_interconnect_0_M04_AXI_WSTRB; + wire axi_interconnect_0_M04_AXI_WVALID; + wire [14:0]mig_7series_1_C0_DDR3_ADDR; + wire [2:0]mig_7series_1_C0_DDR3_BA; + wire mig_7series_1_C0_DDR3_CAS_N; + wire [0:0]mig_7series_1_C0_DDR3_CKE; + wire [0:0]mig_7series_1_C0_DDR3_CK_N; + wire [0:0]mig_7series_1_C0_DDR3_CK_P; + wire [0:0]mig_7series_1_C0_DDR3_CS_N; + wire [71:0]mig_7series_1_C0_DDR3_DQ; + wire [8:0]mig_7series_1_C0_DDR3_DQS_N; + wire [8:0]mig_7series_1_C0_DDR3_DQS_P; + wire [0:0]mig_7series_1_C0_DDR3_ODT; + wire mig_7series_1_C0_DDR3_RAS_N; + wire mig_7series_1_C0_DDR3_RESET_N; + wire mig_7series_1_C0_DDR3_WE_N; + wire [14:0]mig_7series_1_C1_DDR3_ADDR; + wire [2:0]mig_7series_1_C1_DDR3_BA; + wire mig_7series_1_C1_DDR3_CAS_N; + wire [0:0]mig_7series_1_C1_DDR3_CKE; + wire [0:0]mig_7series_1_C1_DDR3_CK_N; + wire [0:0]mig_7series_1_C1_DDR3_CK_P; + wire [0:0]mig_7series_1_C1_DDR3_CS_N; + wire [71:0]mig_7series_1_C1_DDR3_DQ; + wire [8:0]mig_7series_1_C1_DDR3_DQS_N; + wire [8:0]mig_7series_1_C1_DDR3_DQS_P; + wire [0:0]mig_7series_1_C1_DDR3_ODT; + wire mig_7series_1_C1_DDR3_RAS_N; + wire mig_7series_1_C1_DDR3_RESET_N; + wire mig_7series_1_C1_DDR3_WE_N; + wire mig_7series_1_c0_ui_clk_sync_rst; + wire mig_7series_1_c1_ui_clk; + wire mig_7series_1_c1_ui_clk_sync_rst; + wire mig_7series_1_ui_clk; + wire pci_reset_1; + wire [0:0]pcie_clkin_1_CLK_N; + wire [0:0]pcie_clkin_1_CLK_P; + wire [0:0]util_ds_buf_0_IBUF_OUT; + wire [7:0]util_vector_logic_2_Res; + wire xdma_1_axi_aclk; + wire xdma_1_axi_aresetn; + wire [0:0]xdma_1_pcie_mgt_rxn; + wire [0:0]xdma_1_pcie_mgt_rxp; + wire [0:0]xdma_1_pcie_mgt_txn; + wire [0:0]xdma_1_pcie_mgt_txp; + wire xdma_1_user_lnk_up; + wire [0:0]xlconstant_0_dout; + wire [0:0]xlconstant_2_dout; + + assign C0_DDR3_0_addr[14:0] = mig_7series_1_C0_DDR3_ADDR; + assign C0_DDR3_0_ba[2:0] = mig_7series_1_C0_DDR3_BA; + assign C0_DDR3_0_cas_n = mig_7series_1_C0_DDR3_CAS_N; + assign C0_DDR3_0_ck_n[0] = mig_7series_1_C0_DDR3_CK_N; + assign C0_DDR3_0_ck_p[0] = mig_7series_1_C0_DDR3_CK_P; + assign C0_DDR3_0_cke[0] = mig_7series_1_C0_DDR3_CKE; + assign C0_DDR3_0_cs_n[0] = mig_7series_1_C0_DDR3_CS_N; + assign C0_DDR3_0_odt[0] = mig_7series_1_C0_DDR3_ODT; + assign C0_DDR3_0_ras_n = mig_7series_1_C0_DDR3_RAS_N; + assign C0_DDR3_0_reset_n = mig_7series_1_C0_DDR3_RESET_N; + assign C0_DDR3_0_we_n = mig_7series_1_C0_DDR3_WE_N; + assign C0_SYS_CLK_0_1_CLK_N = C0_SYS_CLK_0_clk_n; + assign C0_SYS_CLK_0_1_CLK_P = C0_SYS_CLK_0_clk_p; + assign C1_DDR3_0_addr[14:0] = mig_7series_1_C1_DDR3_ADDR; + assign C1_DDR3_0_ba[2:0] = mig_7series_1_C1_DDR3_BA; + assign C1_DDR3_0_cas_n = mig_7series_1_C1_DDR3_CAS_N; + assign C1_DDR3_0_ck_n[0] = mig_7series_1_C1_DDR3_CK_N; + assign C1_DDR3_0_ck_p[0] = mig_7series_1_C1_DDR3_CK_P; + assign C1_DDR3_0_cke[0] = mig_7series_1_C1_DDR3_CKE; + assign C1_DDR3_0_cs_n[0] = mig_7series_1_C1_DDR3_CS_N; + assign C1_DDR3_0_odt[0] = mig_7series_1_C1_DDR3_ODT; + assign C1_DDR3_0_ras_n = mig_7series_1_C1_DDR3_RAS_N; + assign C1_DDR3_0_reset_n = mig_7series_1_C1_DDR3_RESET_N; + assign C1_DDR3_0_we_n = mig_7series_1_C1_DDR3_WE_N; + assign C1_SYS_CLK_0_1_CLK_N = C1_SYS_CLK_0_clk_n; + assign C1_SYS_CLK_0_1_CLK_P = C1_SYS_CLK_0_clk_p; + assign pci_reset_1 = pci_reset; + assign pcie_clkin_1_CLK_N = pcie_clkin_clk_n[0]; + assign pcie_clkin_1_CLK_P = pcie_clkin_clk_p[0]; + assign pcie_mgt_0_txn[0] = xdma_1_pcie_mgt_txn; + assign pcie_mgt_0_txp[0] = xdma_1_pcie_mgt_txp; + assign user_lnk_up_0 = xdma_1_user_lnk_up; + assign xdma_1_pcie_mgt_rxn = pcie_mgt_0_rxn[0]; + assign xdma_1_pcie_mgt_rxp = pcie_mgt_0_rxp[0]; + Top_axi_bram_ctrl_0_0 axi_bram_ctrl_0 + (.bram_addr_a(axi_bram_ctrl_0_BRAM_PORTA_ADDR), + .bram_clk_a(axi_bram_ctrl_0_BRAM_PORTA_CLK), + .bram_en_a(axi_bram_ctrl_0_BRAM_PORTA_EN), + .bram_rddata_a(axi_bram_ctrl_0_BRAM_PORTA_DOUT), + .bram_rst_a(axi_bram_ctrl_0_BRAM_PORTA_RST), + .bram_we_a(axi_bram_ctrl_0_BRAM_PORTA_WE), + .bram_wrdata_a(axi_bram_ctrl_0_BRAM_PORTA_DIN), + .s_axi_aclk(xdma_1_axi_aclk), + .s_axi_araddr(axi_interconnect_0_M04_AXI_ARADDR), + .s_axi_arburst(axi_interconnect_0_M04_AXI_ARBURST), + .s_axi_arcache(axi_interconnect_0_M04_AXI_ARCACHE), + .s_axi_aresetn(xdma_1_axi_aresetn), + .s_axi_arlen(axi_interconnect_0_M04_AXI_ARLEN), + .s_axi_arlock(axi_interconnect_0_M04_AXI_ARLOCK), + .s_axi_arprot(axi_interconnect_0_M04_AXI_ARPROT), + .s_axi_arready(axi_interconnect_0_M04_AXI_ARREADY), + .s_axi_arsize(axi_interconnect_0_M04_AXI_ARSIZE), + .s_axi_arvalid(axi_interconnect_0_M04_AXI_ARVALID), + .s_axi_awaddr(axi_interconnect_0_M04_AXI_AWADDR), + .s_axi_awburst(axi_interconnect_0_M04_AXI_AWBURST), + .s_axi_awcache(axi_interconnect_0_M04_AXI_AWCACHE), + .s_axi_awlen(axi_interconnect_0_M04_AXI_AWLEN), + .s_axi_awlock(axi_interconnect_0_M04_AXI_AWLOCK), + .s_axi_awprot(axi_interconnect_0_M04_AXI_AWPROT), + .s_axi_awready(axi_interconnect_0_M04_AXI_AWREADY), + .s_axi_awsize(axi_interconnect_0_M04_AXI_AWSIZE), + .s_axi_awvalid(axi_interconnect_0_M04_AXI_AWVALID), + .s_axi_bready(axi_interconnect_0_M04_AXI_BREADY), + .s_axi_bresp(axi_interconnect_0_M04_AXI_BRESP), + .s_axi_bvalid(axi_interconnect_0_M04_AXI_BVALID), + .s_axi_rdata(axi_interconnect_0_M04_AXI_RDATA), + .s_axi_rlast(axi_interconnect_0_M04_AXI_RLAST), + .s_axi_rready(axi_interconnect_0_M04_AXI_RREADY), + .s_axi_rresp(axi_interconnect_0_M04_AXI_RRESP), + .s_axi_rvalid(axi_interconnect_0_M04_AXI_RVALID), + .s_axi_wdata(axi_interconnect_0_M04_AXI_WDATA), + .s_axi_wlast(axi_interconnect_0_M04_AXI_WLAST), + .s_axi_wready(axi_interconnect_0_M04_AXI_WREADY), + .s_axi_wstrb(axi_interconnect_0_M04_AXI_WSTRB), + .s_axi_wvalid(axi_interconnect_0_M04_AXI_WVALID)); + axi_interconnect_0 axi_interconnect_0 + (.ACLK(xdma_1_axi_aclk), + .ARESETN(xdma_1_axi_aresetn), + .M00_ACLK(mig_7series_1_ui_clk), + .M00_ARESETN(M00_ARESETN_2), + .M00_AXI_araddr(axi_interconnect_0_M00_AXI_ARADDR), + .M00_AXI_arready(axi_interconnect_0_M00_AXI_ARREADY), + .M00_AXI_arvalid(axi_interconnect_0_M00_AXI_ARVALID), + .M00_AXI_awaddr(axi_interconnect_0_M00_AXI_AWADDR), + .M00_AXI_awready(axi_interconnect_0_M00_AXI_AWREADY), + .M00_AXI_awvalid(axi_interconnect_0_M00_AXI_AWVALID), + .M00_AXI_bready(axi_interconnect_0_M00_AXI_BREADY), + .M00_AXI_bresp(axi_interconnect_0_M00_AXI_BRESP), + .M00_AXI_bvalid(axi_interconnect_0_M00_AXI_BVALID), + .M00_AXI_rdata(axi_interconnect_0_M00_AXI_RDATA), + .M00_AXI_rready(axi_interconnect_0_M00_AXI_RREADY), + .M00_AXI_rresp(axi_interconnect_0_M00_AXI_RRESP), + .M00_AXI_rvalid(axi_interconnect_0_M00_AXI_RVALID), + .M00_AXI_wdata(axi_interconnect_0_M00_AXI_WDATA), + .M00_AXI_wready(axi_interconnect_0_M00_AXI_WREADY), + .M00_AXI_wvalid(axi_interconnect_0_M00_AXI_WVALID), + .M01_ACLK(mig_7series_1_ui_clk), + .M01_ARESETN(M00_ARESETN_2), + .M01_AXI_araddr(axi_interconnect_0_M01_AXI_ARADDR), + .M01_AXI_arburst(axi_interconnect_0_M01_AXI_ARBURST), + .M01_AXI_arcache(axi_interconnect_0_M01_AXI_ARCACHE), + .M01_AXI_arlen(axi_interconnect_0_M01_AXI_ARLEN), + .M01_AXI_arlock(axi_interconnect_0_M01_AXI_ARLOCK), + .M01_AXI_arprot(axi_interconnect_0_M01_AXI_ARPROT), + .M01_AXI_arqos(axi_interconnect_0_M01_AXI_ARQOS), + .M01_AXI_arready(axi_interconnect_0_M01_AXI_ARREADY), + .M01_AXI_arsize(axi_interconnect_0_M01_AXI_ARSIZE), + .M01_AXI_arvalid(axi_interconnect_0_M01_AXI_ARVALID), + .M01_AXI_awaddr(axi_interconnect_0_M01_AXI_AWADDR), + .M01_AXI_awburst(axi_interconnect_0_M01_AXI_AWBURST), + .M01_AXI_awcache(axi_interconnect_0_M01_AXI_AWCACHE), + .M01_AXI_awlen(axi_interconnect_0_M01_AXI_AWLEN), + .M01_AXI_awlock(axi_interconnect_0_M01_AXI_AWLOCK), + .M01_AXI_awprot(axi_interconnect_0_M01_AXI_AWPROT), + .M01_AXI_awqos(axi_interconnect_0_M01_AXI_AWQOS), + .M01_AXI_awready(axi_interconnect_0_M01_AXI_AWREADY), + .M01_AXI_awsize(axi_interconnect_0_M01_AXI_AWSIZE), + .M01_AXI_awvalid(axi_interconnect_0_M01_AXI_AWVALID), + .M01_AXI_bready(axi_interconnect_0_M01_AXI_BREADY), + .M01_AXI_bresp(axi_interconnect_0_M01_AXI_BRESP), + .M01_AXI_bvalid(axi_interconnect_0_M01_AXI_BVALID), + .M01_AXI_rdata(axi_interconnect_0_M01_AXI_RDATA), + .M01_AXI_rlast(axi_interconnect_0_M01_AXI_RLAST), + .M01_AXI_rready(axi_interconnect_0_M01_AXI_RREADY), + .M01_AXI_rresp(axi_interconnect_0_M01_AXI_RRESP), + .M01_AXI_rvalid(axi_interconnect_0_M01_AXI_RVALID), + .M01_AXI_wdata(axi_interconnect_0_M01_AXI_WDATA), + .M01_AXI_wlast(axi_interconnect_0_M01_AXI_WLAST), + .M01_AXI_wready(axi_interconnect_0_M01_AXI_WREADY), + .M01_AXI_wstrb(axi_interconnect_0_M01_AXI_WSTRB), + .M01_AXI_wvalid(axi_interconnect_0_M01_AXI_WVALID), + .M02_ACLK(mig_7series_1_c1_ui_clk), + .M02_ARESETN(util_vector_logic_2_Res), + .M02_AXI_araddr(axi_interconnect_0_M02_AXI_ARADDR), + .M02_AXI_arready(axi_interconnect_0_M02_AXI_ARREADY), + .M02_AXI_arvalid(axi_interconnect_0_M02_AXI_ARVALID), + .M02_AXI_awaddr(axi_interconnect_0_M02_AXI_AWADDR), + .M02_AXI_awready(axi_interconnect_0_M02_AXI_AWREADY), + .M02_AXI_awvalid(axi_interconnect_0_M02_AXI_AWVALID), + .M02_AXI_bready(axi_interconnect_0_M02_AXI_BREADY), + .M02_AXI_bresp(axi_interconnect_0_M02_AXI_BRESP), + .M02_AXI_bvalid(axi_interconnect_0_M02_AXI_BVALID), + .M02_AXI_rdata(axi_interconnect_0_M02_AXI_RDATA), + .M02_AXI_rready(axi_interconnect_0_M02_AXI_RREADY), + .M02_AXI_rresp(axi_interconnect_0_M02_AXI_RRESP), + .M02_AXI_rvalid(axi_interconnect_0_M02_AXI_RVALID), + .M02_AXI_wdata(axi_interconnect_0_M02_AXI_WDATA), + .M02_AXI_wready(axi_interconnect_0_M02_AXI_WREADY), + .M02_AXI_wvalid(axi_interconnect_0_M02_AXI_WVALID), + .M03_ACLK(mig_7series_1_c1_ui_clk), + .M03_ARESETN(util_vector_logic_2_Res), + .M03_AXI_araddr(axi_interconnect_0_M03_AXI_ARADDR), + .M03_AXI_arburst(axi_interconnect_0_M03_AXI_ARBURST), + .M03_AXI_arcache(axi_interconnect_0_M03_AXI_ARCACHE), + .M03_AXI_arlen(axi_interconnect_0_M03_AXI_ARLEN), + .M03_AXI_arlock(axi_interconnect_0_M03_AXI_ARLOCK), + .M03_AXI_arprot(axi_interconnect_0_M03_AXI_ARPROT), + .M03_AXI_arqos(axi_interconnect_0_M03_AXI_ARQOS), + .M03_AXI_arready(axi_interconnect_0_M03_AXI_ARREADY), + .M03_AXI_arsize(axi_interconnect_0_M03_AXI_ARSIZE), + .M03_AXI_arvalid(axi_interconnect_0_M03_AXI_ARVALID), + .M03_AXI_awaddr(axi_interconnect_0_M03_AXI_AWADDR), + .M03_AXI_awburst(axi_interconnect_0_M03_AXI_AWBURST), + .M03_AXI_awcache(axi_interconnect_0_M03_AXI_AWCACHE), + .M03_AXI_awlen(axi_interconnect_0_M03_AXI_AWLEN), + .M03_AXI_awlock(axi_interconnect_0_M03_AXI_AWLOCK), + .M03_AXI_awprot(axi_interconnect_0_M03_AXI_AWPROT), + .M03_AXI_awqos(axi_interconnect_0_M03_AXI_AWQOS), + .M03_AXI_awready(axi_interconnect_0_M03_AXI_AWREADY), + .M03_AXI_awsize(axi_interconnect_0_M03_AXI_AWSIZE), + .M03_AXI_awvalid(axi_interconnect_0_M03_AXI_AWVALID), + .M03_AXI_bready(axi_interconnect_0_M03_AXI_BREADY), + .M03_AXI_bresp(axi_interconnect_0_M03_AXI_BRESP), + .M03_AXI_bvalid(axi_interconnect_0_M03_AXI_BVALID), + .M03_AXI_rdata(axi_interconnect_0_M03_AXI_RDATA), + .M03_AXI_rlast(axi_interconnect_0_M03_AXI_RLAST), + .M03_AXI_rready(axi_interconnect_0_M03_AXI_RREADY), + .M03_AXI_rresp(axi_interconnect_0_M03_AXI_RRESP), + .M03_AXI_rvalid(axi_interconnect_0_M03_AXI_RVALID), + .M03_AXI_wdata(axi_interconnect_0_M03_AXI_WDATA), + .M03_AXI_wlast(axi_interconnect_0_M03_AXI_WLAST), + .M03_AXI_wready(axi_interconnect_0_M03_AXI_WREADY), + .M03_AXI_wstrb(axi_interconnect_0_M03_AXI_WSTRB), + .M03_AXI_wvalid(axi_interconnect_0_M03_AXI_WVALID), + .M04_ACLK(xdma_1_axi_aclk), + .M04_ARESETN(xdma_1_axi_aresetn), + .M04_AXI_araddr(axi_interconnect_0_M04_AXI_ARADDR), + .M04_AXI_arburst(axi_interconnect_0_M04_AXI_ARBURST), + .M04_AXI_arcache(axi_interconnect_0_M04_AXI_ARCACHE), + .M04_AXI_arlen(axi_interconnect_0_M04_AXI_ARLEN), + .M04_AXI_arlock(axi_interconnect_0_M04_AXI_ARLOCK), + .M04_AXI_arprot(axi_interconnect_0_M04_AXI_ARPROT), + .M04_AXI_arready(axi_interconnect_0_M04_AXI_ARREADY), + .M04_AXI_arsize(axi_interconnect_0_M04_AXI_ARSIZE), + .M04_AXI_arvalid(axi_interconnect_0_M04_AXI_ARVALID), + .M04_AXI_awaddr(axi_interconnect_0_M04_AXI_AWADDR), + .M04_AXI_awburst(axi_interconnect_0_M04_AXI_AWBURST), + .M04_AXI_awcache(axi_interconnect_0_M04_AXI_AWCACHE), + .M04_AXI_awlen(axi_interconnect_0_M04_AXI_AWLEN), + .M04_AXI_awlock(axi_interconnect_0_M04_AXI_AWLOCK), + .M04_AXI_awprot(axi_interconnect_0_M04_AXI_AWPROT), + .M04_AXI_awready(axi_interconnect_0_M04_AXI_AWREADY), + .M04_AXI_awsize(axi_interconnect_0_M04_AXI_AWSIZE), + .M04_AXI_awvalid(axi_interconnect_0_M04_AXI_AWVALID), + .M04_AXI_bready(axi_interconnect_0_M04_AXI_BREADY), + .M04_AXI_bresp(axi_interconnect_0_M04_AXI_BRESP), + .M04_AXI_bvalid(axi_interconnect_0_M04_AXI_BVALID), + .M04_AXI_rdata(axi_interconnect_0_M04_AXI_RDATA), + .M04_AXI_rlast(axi_interconnect_0_M04_AXI_RLAST), + .M04_AXI_rready(axi_interconnect_0_M04_AXI_RREADY), + .M04_AXI_rresp(axi_interconnect_0_M04_AXI_RRESP), + .M04_AXI_rvalid(axi_interconnect_0_M04_AXI_RVALID), + .M04_AXI_wdata(axi_interconnect_0_M04_AXI_WDATA), + .M04_AXI_wlast(axi_interconnect_0_M04_AXI_WLAST), + .M04_AXI_wready(axi_interconnect_0_M04_AXI_WREADY), + .M04_AXI_wstrb(axi_interconnect_0_M04_AXI_WSTRB), + .M04_AXI_wvalid(axi_interconnect_0_M04_AXI_WVALID), + .S00_ACLK(xdma_1_axi_aclk), + .S00_ARESETN(xdma_1_axi_aresetn), + .S00_AXI_araddr(S00_AXI_1_ARADDR), + .S00_AXI_arburst(S00_AXI_1_ARBURST), + .S00_AXI_arcache(S00_AXI_1_ARCACHE), + .S00_AXI_arid(S00_AXI_1_ARID), + .S00_AXI_arlen(S00_AXI_1_ARLEN), + .S00_AXI_arlock(S00_AXI_1_ARLOCK), + .S00_AXI_arprot(S00_AXI_1_ARPROT), + .S00_AXI_arready(S00_AXI_1_ARREADY), + .S00_AXI_arsize(S00_AXI_1_ARSIZE), + .S00_AXI_arvalid(S00_AXI_1_ARVALID), + .S00_AXI_awaddr(S00_AXI_1_AWADDR), + .S00_AXI_awburst(S00_AXI_1_AWBURST), + .S00_AXI_awcache(S00_AXI_1_AWCACHE), + .S00_AXI_awid(S00_AXI_1_AWID), + .S00_AXI_awlen(S00_AXI_1_AWLEN), + .S00_AXI_awlock(S00_AXI_1_AWLOCK), + .S00_AXI_awprot(S00_AXI_1_AWPROT), + .S00_AXI_awready(S00_AXI_1_AWREADY), + .S00_AXI_awsize(S00_AXI_1_AWSIZE), + .S00_AXI_awvalid(S00_AXI_1_AWVALID), + .S00_AXI_bid(S00_AXI_1_BID), + .S00_AXI_bready(S00_AXI_1_BREADY), + .S00_AXI_bresp(S00_AXI_1_BRESP), + .S00_AXI_bvalid(S00_AXI_1_BVALID), + .S00_AXI_rdata(S00_AXI_1_RDATA), + .S00_AXI_rid(S00_AXI_1_RID), + .S00_AXI_rlast(S00_AXI_1_RLAST), + .S00_AXI_rready(S00_AXI_1_RREADY), + .S00_AXI_rresp(S00_AXI_1_RRESP), + .S00_AXI_rvalid(S00_AXI_1_RVALID), + .S00_AXI_wdata(S00_AXI_1_WDATA), + .S00_AXI_wlast(S00_AXI_1_WLAST), + .S00_AXI_wready(S00_AXI_1_WREADY), + .S00_AXI_wstrb(S00_AXI_1_WSTRB), + .S00_AXI_wvalid(S00_AXI_1_WVALID)); + Top_blk_mem_gen_0_0 blk_mem_gen_0 + (.addra({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,axi_bram_ctrl_0_BRAM_PORTA_ADDR}), + .clka(axi_bram_ctrl_0_BRAM_PORTA_CLK), + .dina(axi_bram_ctrl_0_BRAM_PORTA_DIN), + .douta(axi_bram_ctrl_0_BRAM_PORTA_DOUT), + .ena(axi_bram_ctrl_0_BRAM_PORTA_EN), + .rsta(axi_bram_ctrl_0_BRAM_PORTA_RST), + .wea(axi_bram_ctrl_0_BRAM_PORTA_WE)); + Top_mig_7series_1_0 mig_7series_1 + (.c0_aresetn(xlconstant_0_dout), + .c0_ddr3_addr(mig_7series_1_C0_DDR3_ADDR), + .c0_ddr3_ba(mig_7series_1_C0_DDR3_BA), + .c0_ddr3_cas_n(mig_7series_1_C0_DDR3_CAS_N), + .c0_ddr3_ck_n(mig_7series_1_C0_DDR3_CK_N), + .c0_ddr3_ck_p(mig_7series_1_C0_DDR3_CK_P), + .c0_ddr3_cke(mig_7series_1_C0_DDR3_CKE), + .c0_ddr3_cs_n(mig_7series_1_C0_DDR3_CS_N), + .c0_ddr3_dq(C0_DDR3_0_dq[71:0]), + .c0_ddr3_dqs_n(C0_DDR3_0_dqs_n[8:0]), + .c0_ddr3_dqs_p(C0_DDR3_0_dqs_p[8:0]), + .c0_ddr3_odt(mig_7series_1_C0_DDR3_ODT), + .c0_ddr3_ras_n(mig_7series_1_C0_DDR3_RAS_N), + .c0_ddr3_reset_n(mig_7series_1_C0_DDR3_RESET_N), + .c0_ddr3_we_n(mig_7series_1_C0_DDR3_WE_N), + .c0_s_axi_araddr(axi_interconnect_0_M01_AXI_ARADDR), + .c0_s_axi_arburst(axi_interconnect_0_M01_AXI_ARBURST), + .c0_s_axi_arcache(axi_interconnect_0_M01_AXI_ARCACHE), + .c0_s_axi_arid({1'b0,1'b0,1'b0,1'b0}), + .c0_s_axi_arlen(axi_interconnect_0_M01_AXI_ARLEN), + .c0_s_axi_arlock(axi_interconnect_0_M01_AXI_ARLOCK), + .c0_s_axi_arprot(axi_interconnect_0_M01_AXI_ARPROT), + .c0_s_axi_arqos(axi_interconnect_0_M01_AXI_ARQOS), + .c0_s_axi_arready(axi_interconnect_0_M01_AXI_ARREADY), + .c0_s_axi_arsize(axi_interconnect_0_M01_AXI_ARSIZE), + .c0_s_axi_arvalid(axi_interconnect_0_M01_AXI_ARVALID), + .c0_s_axi_awaddr(axi_interconnect_0_M01_AXI_AWADDR), + .c0_s_axi_awburst(axi_interconnect_0_M01_AXI_AWBURST), + .c0_s_axi_awcache(axi_interconnect_0_M01_AXI_AWCACHE), + .c0_s_axi_awid({1'b0,1'b0,1'b0,1'b0}), + .c0_s_axi_awlen(axi_interconnect_0_M01_AXI_AWLEN), + .c0_s_axi_awlock(axi_interconnect_0_M01_AXI_AWLOCK), + .c0_s_axi_awprot(axi_interconnect_0_M01_AXI_AWPROT), + .c0_s_axi_awqos(axi_interconnect_0_M01_AXI_AWQOS), + .c0_s_axi_awready(axi_interconnect_0_M01_AXI_AWREADY), + .c0_s_axi_awsize(axi_interconnect_0_M01_AXI_AWSIZE), + .c0_s_axi_awvalid(axi_interconnect_0_M01_AXI_AWVALID), + .c0_s_axi_bready(axi_interconnect_0_M01_AXI_BREADY), + .c0_s_axi_bresp(axi_interconnect_0_M01_AXI_BRESP), + .c0_s_axi_bvalid(axi_interconnect_0_M01_AXI_BVALID), + .c0_s_axi_ctrl_araddr(axi_interconnect_0_M00_AXI_ARADDR), + .c0_s_axi_ctrl_arready(axi_interconnect_0_M00_AXI_ARREADY), + .c0_s_axi_ctrl_arvalid(axi_interconnect_0_M00_AXI_ARVALID), + .c0_s_axi_ctrl_awaddr(axi_interconnect_0_M00_AXI_AWADDR), + .c0_s_axi_ctrl_awready(axi_interconnect_0_M00_AXI_AWREADY), + .c0_s_axi_ctrl_awvalid(axi_interconnect_0_M00_AXI_AWVALID), + .c0_s_axi_ctrl_bready(axi_interconnect_0_M00_AXI_BREADY), + .c0_s_axi_ctrl_bresp(axi_interconnect_0_M00_AXI_BRESP), + .c0_s_axi_ctrl_bvalid(axi_interconnect_0_M00_AXI_BVALID), + .c0_s_axi_ctrl_rdata(axi_interconnect_0_M00_AXI_RDATA), + .c0_s_axi_ctrl_rready(axi_interconnect_0_M00_AXI_RREADY), + .c0_s_axi_ctrl_rresp(axi_interconnect_0_M00_AXI_RRESP), + .c0_s_axi_ctrl_rvalid(axi_interconnect_0_M00_AXI_RVALID), + .c0_s_axi_ctrl_wdata(axi_interconnect_0_M00_AXI_WDATA), + .c0_s_axi_ctrl_wready(axi_interconnect_0_M00_AXI_WREADY), + .c0_s_axi_ctrl_wvalid(axi_interconnect_0_M00_AXI_WVALID), + .c0_s_axi_rdata(axi_interconnect_0_M01_AXI_RDATA), + .c0_s_axi_rlast(axi_interconnect_0_M01_AXI_RLAST), + .c0_s_axi_rready(axi_interconnect_0_M01_AXI_RREADY), + .c0_s_axi_rresp(axi_interconnect_0_M01_AXI_RRESP), + .c0_s_axi_rvalid(axi_interconnect_0_M01_AXI_RVALID), + .c0_s_axi_wdata(axi_interconnect_0_M01_AXI_WDATA), + .c0_s_axi_wlast(axi_interconnect_0_M01_AXI_WLAST), + .c0_s_axi_wready(axi_interconnect_0_M01_AXI_WREADY), + .c0_s_axi_wstrb(axi_interconnect_0_M01_AXI_WSTRB), + .c0_s_axi_wvalid(axi_interconnect_0_M01_AXI_WVALID), + .c0_sys_clk_n(C0_SYS_CLK_0_1_CLK_N), + .c0_sys_clk_p(C0_SYS_CLK_0_1_CLK_P), + .c0_ui_clk(mig_7series_1_ui_clk), + .c0_ui_clk_sync_rst(mig_7series_1_c0_ui_clk_sync_rst), + .c1_aresetn(xlconstant_0_dout), + .c1_ddr3_addr(mig_7series_1_C1_DDR3_ADDR), + .c1_ddr3_ba(mig_7series_1_C1_DDR3_BA), + .c1_ddr3_cas_n(mig_7series_1_C1_DDR3_CAS_N), + .c1_ddr3_ck_n(mig_7series_1_C1_DDR3_CK_N), + .c1_ddr3_ck_p(mig_7series_1_C1_DDR3_CK_P), + .c1_ddr3_cke(mig_7series_1_C1_DDR3_CKE), + .c1_ddr3_cs_n(mig_7series_1_C1_DDR3_CS_N), + .c1_ddr3_dq(C1_DDR3_0_dq[71:0]), + .c1_ddr3_dqs_n(C1_DDR3_0_dqs_n[8:0]), + .c1_ddr3_dqs_p(C1_DDR3_0_dqs_p[8:0]), + .c1_ddr3_odt(mig_7series_1_C1_DDR3_ODT), + .c1_ddr3_ras_n(mig_7series_1_C1_DDR3_RAS_N), + .c1_ddr3_reset_n(mig_7series_1_C1_DDR3_RESET_N), + .c1_ddr3_we_n(mig_7series_1_C1_DDR3_WE_N), + .c1_s_axi_araddr(axi_interconnect_0_M03_AXI_ARADDR), + .c1_s_axi_arburst(axi_interconnect_0_M03_AXI_ARBURST), + .c1_s_axi_arcache(axi_interconnect_0_M03_AXI_ARCACHE), + .c1_s_axi_arid({1'b0,1'b0,1'b0,1'b0}), + .c1_s_axi_arlen(axi_interconnect_0_M03_AXI_ARLEN), + .c1_s_axi_arlock(axi_interconnect_0_M03_AXI_ARLOCK), + .c1_s_axi_arprot(axi_interconnect_0_M03_AXI_ARPROT), + .c1_s_axi_arqos(axi_interconnect_0_M03_AXI_ARQOS), + .c1_s_axi_arready(axi_interconnect_0_M03_AXI_ARREADY), + .c1_s_axi_arsize(axi_interconnect_0_M03_AXI_ARSIZE), + .c1_s_axi_arvalid(axi_interconnect_0_M03_AXI_ARVALID), + .c1_s_axi_awaddr(axi_interconnect_0_M03_AXI_AWADDR), + .c1_s_axi_awburst(axi_interconnect_0_M03_AXI_AWBURST), + .c1_s_axi_awcache(axi_interconnect_0_M03_AXI_AWCACHE), + .c1_s_axi_awid({1'b0,1'b0,1'b0,1'b0}), + .c1_s_axi_awlen(axi_interconnect_0_M03_AXI_AWLEN), + .c1_s_axi_awlock(axi_interconnect_0_M03_AXI_AWLOCK), + .c1_s_axi_awprot(axi_interconnect_0_M03_AXI_AWPROT), + .c1_s_axi_awqos(axi_interconnect_0_M03_AXI_AWQOS), + .c1_s_axi_awready(axi_interconnect_0_M03_AXI_AWREADY), + .c1_s_axi_awsize(axi_interconnect_0_M03_AXI_AWSIZE), + .c1_s_axi_awvalid(axi_interconnect_0_M03_AXI_AWVALID), + .c1_s_axi_bready(axi_interconnect_0_M03_AXI_BREADY), + .c1_s_axi_bresp(axi_interconnect_0_M03_AXI_BRESP), + .c1_s_axi_bvalid(axi_interconnect_0_M03_AXI_BVALID), + .c1_s_axi_ctrl_araddr(axi_interconnect_0_M02_AXI_ARADDR), + .c1_s_axi_ctrl_arready(axi_interconnect_0_M02_AXI_ARREADY), + .c1_s_axi_ctrl_arvalid(axi_interconnect_0_M02_AXI_ARVALID), + .c1_s_axi_ctrl_awaddr(axi_interconnect_0_M02_AXI_AWADDR), + .c1_s_axi_ctrl_awready(axi_interconnect_0_M02_AXI_AWREADY), + .c1_s_axi_ctrl_awvalid(axi_interconnect_0_M02_AXI_AWVALID), + .c1_s_axi_ctrl_bready(axi_interconnect_0_M02_AXI_BREADY), + .c1_s_axi_ctrl_bresp(axi_interconnect_0_M02_AXI_BRESP), + .c1_s_axi_ctrl_bvalid(axi_interconnect_0_M02_AXI_BVALID), + .c1_s_axi_ctrl_rdata(axi_interconnect_0_M02_AXI_RDATA), + .c1_s_axi_ctrl_rready(axi_interconnect_0_M02_AXI_RREADY), + .c1_s_axi_ctrl_rresp(axi_interconnect_0_M02_AXI_RRESP), + .c1_s_axi_ctrl_rvalid(axi_interconnect_0_M02_AXI_RVALID), + .c1_s_axi_ctrl_wdata(axi_interconnect_0_M02_AXI_WDATA), + .c1_s_axi_ctrl_wready(axi_interconnect_0_M02_AXI_WREADY), + .c1_s_axi_ctrl_wvalid(axi_interconnect_0_M02_AXI_WVALID), + .c1_s_axi_rdata(axi_interconnect_0_M03_AXI_RDATA), + .c1_s_axi_rlast(axi_interconnect_0_M03_AXI_RLAST), + .c1_s_axi_rready(axi_interconnect_0_M03_AXI_RREADY), + .c1_s_axi_rresp(axi_interconnect_0_M03_AXI_RRESP), + .c1_s_axi_rvalid(axi_interconnect_0_M03_AXI_RVALID), + .c1_s_axi_wdata(axi_interconnect_0_M03_AXI_WDATA), + .c1_s_axi_wlast(axi_interconnect_0_M03_AXI_WLAST), + .c1_s_axi_wready(axi_interconnect_0_M03_AXI_WREADY), + .c1_s_axi_wstrb(axi_interconnect_0_M03_AXI_WSTRB), + .c1_s_axi_wvalid(axi_interconnect_0_M03_AXI_WVALID), + .c1_sys_clk_n(C1_SYS_CLK_0_1_CLK_N), + .c1_sys_clk_p(C1_SYS_CLK_0_1_CLK_P), + .c1_ui_clk(mig_7series_1_c1_ui_clk), + .c1_ui_clk_sync_rst(mig_7series_1_c1_ui_clk_sync_rst), + .sys_rst(xlconstant_2_dout)); + Top_util_ds_buf_0_0 util_ds_buf_0 + (.IBUF_DS_N(pcie_clkin_1_CLK_N), + .IBUF_DS_P(pcie_clkin_1_CLK_P), + .IBUF_OUT(util_ds_buf_0_IBUF_OUT)); + Top_util_vector_logic_1_3 util_vector_logic_1 + (.Op1({mig_7series_1_c0_ui_clk_sync_rst,mig_7series_1_c0_ui_clk_sync_rst,mig_7series_1_c0_ui_clk_sync_rst,mig_7series_1_c0_ui_clk_sync_rst,mig_7series_1_c0_ui_clk_sync_rst,mig_7series_1_c0_ui_clk_sync_rst,mig_7series_1_c0_ui_clk_sync_rst,mig_7series_1_c0_ui_clk_sync_rst}), + .Res(M00_ARESETN_2)); + Top_util_vector_logic_1_4 util_vector_logic_2 + (.Op1({mig_7series_1_c1_ui_clk_sync_rst,mig_7series_1_c1_ui_clk_sync_rst,mig_7series_1_c1_ui_clk_sync_rst,mig_7series_1_c1_ui_clk_sync_rst,mig_7series_1_c1_ui_clk_sync_rst,mig_7series_1_c1_ui_clk_sync_rst,mig_7series_1_c1_ui_clk_sync_rst,mig_7series_1_c1_ui_clk_sync_rst}), + .Res(util_vector_logic_2_Res)); + Top_xdma_1_1 xdma_1 + (.axi_aclk(xdma_1_axi_aclk), + .axi_aresetn(xdma_1_axi_aresetn), + .cfg_mgmt_addr({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .cfg_mgmt_byte_enable({1'b0,1'b0,1'b0,1'b0}), + .cfg_mgmt_read(1'b0), + .cfg_mgmt_type1_cfg_reg_access(1'b0), + .cfg_mgmt_write(1'b0), + .cfg_mgmt_write_data({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .m_axi_araddr(S00_AXI_1_ARADDR), + .m_axi_arburst(S00_AXI_1_ARBURST), + .m_axi_arcache(S00_AXI_1_ARCACHE), + .m_axi_arid(S00_AXI_1_ARID), + .m_axi_arlen(S00_AXI_1_ARLEN), + .m_axi_arlock(S00_AXI_1_ARLOCK), + .m_axi_arprot(S00_AXI_1_ARPROT), + .m_axi_arready(S00_AXI_1_ARREADY), + .m_axi_arsize(S00_AXI_1_ARSIZE), + .m_axi_arvalid(S00_AXI_1_ARVALID), + .m_axi_awaddr(S00_AXI_1_AWADDR), + .m_axi_awburst(S00_AXI_1_AWBURST), + .m_axi_awcache(S00_AXI_1_AWCACHE), + .m_axi_awid(S00_AXI_1_AWID), + .m_axi_awlen(S00_AXI_1_AWLEN), + .m_axi_awlock(S00_AXI_1_AWLOCK), + .m_axi_awprot(S00_AXI_1_AWPROT), + .m_axi_awready(S00_AXI_1_AWREADY), + .m_axi_awsize(S00_AXI_1_AWSIZE), + .m_axi_awvalid(S00_AXI_1_AWVALID), + .m_axi_bid(S00_AXI_1_BID), + .m_axi_bready(S00_AXI_1_BREADY), + .m_axi_bresp(S00_AXI_1_BRESP), + .m_axi_bvalid(S00_AXI_1_BVALID), + .m_axi_rdata(S00_AXI_1_RDATA), + .m_axi_rid(S00_AXI_1_RID), + .m_axi_rlast(S00_AXI_1_RLAST), + .m_axi_rready(S00_AXI_1_RREADY), + .m_axi_rresp(S00_AXI_1_RRESP), + .m_axi_rvalid(S00_AXI_1_RVALID), + .m_axi_wdata(S00_AXI_1_WDATA), + .m_axi_wlast(S00_AXI_1_WLAST), + .m_axi_wready(S00_AXI_1_WREADY), + .m_axi_wstrb(S00_AXI_1_WSTRB), + .m_axi_wvalid(S00_AXI_1_WVALID), + .pci_exp_rxn(xdma_1_pcie_mgt_rxn), + .pci_exp_rxp(xdma_1_pcie_mgt_rxp), + .pci_exp_txn(xdma_1_pcie_mgt_txn), + .pci_exp_txp(xdma_1_pcie_mgt_txp), + .sys_clk(util_ds_buf_0_IBUF_OUT), + .sys_rst_n(pci_reset_1), + .user_lnk_up(xdma_1_user_lnk_up), + .usr_irq_req(1'b0)); + Top_xlconstant_0_1 xlconstant_0 + (.dout(xlconstant_0_dout)); + Top_xlconstant_2_0 xlconstant_2 + (.dout(xlconstant_2_dout)); +endmodule diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci index 9cbcb1f..b9e8f75 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_0/Top_auto_cc_0.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m00_couplers/auto_cc", "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", "ip_revision": "26", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_0", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_0", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -61,9 +61,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "26" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_0" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_0" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } @@ -176,7 +176,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -304,7 +304,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci index c6e7d52..237f05a 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_1/Top_auto_cc_1.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m01_couplers/auto_cc", "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", "ip_revision": "26", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_1", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_1", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -53,7 +53,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -61,9 +61,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "26" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_1" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_1" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } @@ -176,7 +176,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -304,7 +304,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci index 708424a..c5c202f 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_2/Top_auto_cc_2.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m02_couplers/auto_cc", "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", "ip_revision": "26", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_2", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_2", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -53,7 +53,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -61,9 +61,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "26" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_2" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_2" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } @@ -176,7 +176,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -304,7 +304,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci index 3b687bf..6e18ac7 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_cc_3/Top_auto_cc_3.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m03_couplers/auto_cc", "component_reference": "xilinx.com:ip:axi_clock_converter:2.1", "ip_revision": "26", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_3", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_3", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -53,7 +53,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -61,9 +61,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "26" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_cc_3" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_cc_3" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } @@ -176,7 +176,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -304,7 +304,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_BUSIF": [ { "value": "S_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci index c518140..65a2456 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_0/Top_auto_ds_0.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m00_couplers/auto_ds", "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", "ip_revision": "27", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_0", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_ds_0", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -51,7 +51,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -59,9 +59,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "27" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_0" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_ds_0" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci index 09d2908..80e8bf0 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_1/Top_auto_ds_1.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m02_couplers/auto_ds", "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", "ip_revision": "27", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_1", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_ds_1", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -51,7 +51,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -59,9 +59,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "27" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_1" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_ds_1" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci index 034b28c..1f10216 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_ds_2/Top_auto_ds_2.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m04_couplers/auto_ds", "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", "ip_revision": "27", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_2", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_ds_2", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -51,7 +51,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -59,9 +59,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "27" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_ds_2" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_ds_2" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } @@ -172,7 +172,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -247,7 +247,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -300,7 +300,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci index 49d0db4..b0ffdca 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_0/Top_auto_pc_0.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m00_couplers/auto_pc", "component_reference": "xilinx.com:ip:axi_protocol_converter:2.1", "ip_revision": "27", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_0", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_pc_0", "parameters": { "component_parameters": { "SI_PROTOCOL": [ { "value": "AXI4", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -51,7 +51,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -59,9 +59,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "27" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_0" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_pc_0" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci index d334106..2207ef3 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_pc_1/Top_auto_pc_1.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/m02_couplers/auto_pc", "component_reference": "xilinx.com:ip:axi_protocol_converter:2.1", "ip_revision": "27", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_1", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_pc_1", "parameters": { "component_parameters": { "SI_PROTOCOL": [ { "value": "AXI4", "value_src": "user", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -51,7 +51,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -59,9 +59,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "27" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_pc_1" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_pc_1" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci index ccfba49..26fd983 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_auto_us_0/Top_auto_us_0.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/s00_couplers/auto_us", "component_reference": "xilinx.com:ip:axi_dwidth_converter:2.1", "ip_revision": "27", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_us_0", + "gen_directory": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_us_0", "parameters": { "component_parameters": { "PROTOCOL": [ { "value": "AXI4", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "usage": "all" } ], @@ -51,7 +51,7 @@ "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], "SPEEDGRADE": [ { "value": "-2L" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], "USE_RDI_GENERATION": [ { "value": "TRUE" } ] }, @@ -59,9 +59,9 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "27" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_auto_us_0" } ], + "OUTPUTDIR": [ { "value": "../../../../../build/my_project.gen/sources_1/ip/Top_auto_us_0" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], - "SHAREDDIR": [ { "value": "../../ipshared" } ], + "SHAREDDIR": [ { "value": "../../../../../nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } @@ -176,7 +176,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -255,7 +255,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -308,7 +308,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_BUSIF": [ { "value": "S_AXI:M_AXI", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_RESET": [ { "value": "S_AXI_ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci index dda03df..1f9fb8b 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_bram_ctrl_0_0/Top_axi_bram_ctrl_0_0.xci @@ -5,7 +5,7 @@ "cell_name": "axi_bram_ctrl_0", "component_reference": "xilinx.com:ip:axi_bram_ctrl:4.1", "ip_revision": "7", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_bram_ctrl_0_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_axi_bram_ctrl_0_0", "parameters": { "component_parameters": { "DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], @@ -62,7 +62,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "7" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_bram_ctrl_0_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_axi_bram_ctrl_0_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], @@ -144,7 +144,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "ip_propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -229,7 +229,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] }, diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci index 2782815..caa0cb1 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_axi_interconnect_0_0/Top_axi_interconnect_0_0.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0", "component_reference": "xilinx.com:ip:axi_interconnect:2.1", "ip_revision": "28", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_interconnect_0_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_axi_interconnect_0_0", "parameters": { "component_parameters": { "NUM_SI": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], @@ -343,7 +343,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator_AppCore" } ], "IPREVISION": [ { "value": "28" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_axi_interconnect_0_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_axi_interconnect_0_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci index 1f62790..697d081 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_blk_mem_gen_0_0/Top_blk_mem_gen_0_0.xci @@ -5,7 +5,7 @@ "cell_name": "blk_mem_gen_0", "component_reference": "xilinx.com:ip:blk_mem_gen:8.4", "ip_revision": "5", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_blk_mem_gen_0_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_blk_mem_gen_0_0", "parameters": { "component_parameters": { "Component_Name": [ { "value": "Top_blk_mem_gen_0_0", "resolve_type": "user", "usage": "all" } ], @@ -177,7 +177,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "5" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_blk_mem_gen_0_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_blk_mem_gen_0_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci index 5036b3f..2f61b3a 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_mig_7series_1_0/Top_mig_7series_1_0.xci @@ -5,12 +5,12 @@ "cell_name": "mig_7series_1", "component_reference": "xilinx.com:ip:mig_7series:4.2", "ip_revision": "1", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_mig_7series_1_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_mig_7series_1_0", "parameters": { "component_parameters": { "XML_INPUT_FILE": [ { "value": "mig_b.prj", "value_src": "user", "resolve_type": "user", "usage": "all" } ], "RESET_BOARD_INTERFACE": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ], - "MIG_DONT_TOUCH_PARAM": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "MIG_DONT_TOUCH_PARAM": [ { "value": "Custom", "value_src": "user_prop", "resolve_type": "user", "usage": "all" } ], "BOARD_MIG_PARAM": [ { "value": "Custom", "value_src": "user", "resolve_type": "user", "usage": "all" } ], "Component_Name": [ { "value": "Top_mig_7series_1_0", "resolve_type": "user", "usage": "all" } ] }, @@ -1182,7 +1182,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "1" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_mig_7series_1_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_mig_7series_1_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci index b45eca2..e3b1273 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_ds_buf_0_0/Top_util_ds_buf_0_0.xci @@ -5,7 +5,7 @@ "cell_name": "util_ds_buf_0", "component_reference": "xilinx.com:ip:util_ds_buf:2.2", "ip_revision": "29", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_ds_buf_0_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_util_ds_buf_0_0", "parameters": { "component_parameters": { "C_SIZE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], @@ -48,7 +48,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "29" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_ds_buf_0_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_util_ds_buf_0_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci index c9b9c65..31aa2c5 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_3/Top_util_vector_logic_1_3.xci @@ -5,7 +5,7 @@ "cell_name": "util_vector_logic_1", "component_reference": "xilinx.com:ip:util_vector_logic:2.0", "ip_revision": "2", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_3", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_util_vector_logic_1_3", "parameters": { "component_parameters": { "Component_Name": [ { "value": "Top_util_vector_logic_1_3", "resolve_type": "user", "usage": "all" } ], @@ -36,7 +36,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "2" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_3" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_util_vector_logic_1_3" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci index 6292957..913a01a 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_util_vector_logic_1_4/Top_util_vector_logic_1_4.xci @@ -5,7 +5,7 @@ "cell_name": "util_vector_logic_2", "component_reference": "xilinx.com:ip:util_vector_logic:2.0", "ip_revision": "2", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_4", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_util_vector_logic_1_4", "parameters": { "component_parameters": { "Component_Name": [ { "value": "Top_util_vector_logic_1_4", "resolve_type": "user", "usage": "all" } ], @@ -36,7 +36,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "2" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_util_vector_logic_1_4" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_util_vector_logic_1_4" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci index eece37a..ba35c97 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xbar_0/Top_xbar_0.xci @@ -5,7 +5,7 @@ "cell_name": "axi_interconnect_0/xbar", "component_reference": "xilinx.com:ip:axi_crossbar:2.1", "ip_revision": "28", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xbar_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_xbar_0", "parameters": { "component_parameters": { "ADDR_RANGES": [ { "value": "1", "value_src": "propagated", "value_permission": "bd_and_user", "resolve_type": "user", "format": "long", "usage": "all" } ], @@ -1259,7 +1259,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "28" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xbar_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_xbar_0" } ], "SELECTEDSIMMODEL": [ { "value": "rtl" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], @@ -1361,7 +1361,7 @@ "FREQ_HZ": [ { "value": "62500000", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_BUSIF": [ { "value": "M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], "ASSOCIATED_RESET": [ { "value": "ARESETN", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], @@ -1401,7 +1401,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user_prop", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -1474,7 +1474,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -1549,7 +1549,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], @@ -1624,7 +1624,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], @@ -1699,7 +1699,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "8", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], @@ -1774,7 +1774,7 @@ "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "MAX_BURST_LENGTH": [ { "value": "32", "value_src": "propagated", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_static_object": false } ], - "CLK_DOMAIN": [ { "value": "Top_xdma_1_0_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "usage": "simulation.tlm", "is_static_object": false } ], "NUM_READ_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "NUM_WRITE_THREADS": [ { "value": "1", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_static_object": false } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci index ba1035a..2da88d7 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_0/Top_xdma_1_0.xci @@ -5,7 +5,7 @@ "cell_name": "xdma_1", "component_reference": "xilinx.com:ip:xdma:4.1", "ip_revision": "20", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_xdma_1_0", "parameters": { "component_parameters": { "Component_Name": [ { "value": "Top_xdma_1_0", "resolve_type": "user", "usage": "all" } ], @@ -1294,7 +1294,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "20" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_xdma_1_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_1/Top_xdma_1_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_1/Top_xdma_1_1.xci new file mode 100644 index 0000000..c98b6e4 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xdma_1_1/Top_xdma_1_1.xci @@ -0,0 +1,1592 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_xdma_1_1", + "cell_name": "xdma_1", + "component_reference": "xilinx.com:ip:xdma:4.1", + "ip_revision": "20", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_1", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_xdma_1_1", "resolve_type": "user", "usage": "all" } ], + "functional_mode": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "mode_selection": [ { "value": "Basic", "resolve_type": "user", "usage": "all" } ], + "device_port_type": [ { "value": "PCI_Express_Endpoint_device", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pcie_blk_locn": [ { "value": "X0Y0", "resolve_type": "user", "usage": "all" } ], + "pl_link_cap_max_link_width": [ { "value": "X1", "resolve_type": "user", "usage": "all" } ], + "pl_link_cap_max_link_speed": [ { "value": "5.0_GT/s", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "ref_clk_freq": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ], + "drp_clk_sel": [ { "value": "Internal", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "free_run_freq": [ { "value": "100_MHz", "resolve_type": "user", "usage": "all" } ], + "axi_addr_width": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "axi_data_width": [ { "value": "64_bit", "resolve_type": "user", "usage": "all" } ], + "axisten_freq": [ { "value": "62.5", "resolve_type": "user", "usage": "all" } ], + "en_axi_slave_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_axi_master_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pipe_sim": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_ext_ch_gt_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_pcie_drp": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "dedicate_perst": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "sys_reset_polarity": [ { "value": "ACTIVE_LOW", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "mcap_enablement": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "mcap_fpga_bitstream_version": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "ext_startup_primitive": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "enable_code": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf0_device_id": [ { "value": "7021", "resolve_type": "user", "usage": "all" } ], + "pf0_revision_id": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_subsystem_vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf0_subsystem_id": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "pf0_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_base_class_menu": [ { "value": "Simple_communication_controllers", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_base": [ { "value": "07", "resolve_type": "user", "usage": "all" } ], + "pf0_sub_class_interface_menu": [ { "value": "16450_compatible_serial_controller", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_sub": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_interface": [ { "value": "01", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code": [ { "value": "070001", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axilite_master_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "axilite_master_size": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "axilite_master_scale": [ { "value": "Megabytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "xdma_en": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "xdma_size": [ { "value": "64", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "xdma_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axist_bypass_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "axist_bypass_size": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "axist_bypass_scale": [ { "value": "Megabytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_axil_master": [ { "value": "0x00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_xdma": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_axist_bypass": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_interrupt_pin": [ { "value": "INTA", "resolve_type": "user", "usage": "all" } ], + "pf0_msi_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_msi_cap_multimsgcap": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "comp_timeout": [ { "value": "50ms", "resolve_type": "user", "usage": "all" } ], + "timeout0_sel": [ { "value": "14", "resolve_type": "user", "usage": "all" } ], + "timeout1_sel": [ { "value": "15", "resolve_type": "user", "usage": "all" } ], + "timeout_mult": [ { "value": "3", "resolve_type": "user", "usage": "all" } ], + "old_bridge_timeout": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "Shared_Logic_Clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Both": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Gtc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Gtc_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Clk_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "Shared_Logic_Both_7xG2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_transceiver_status_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xdma_rnum_chnl": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "xdma_wnum_chnl": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "xdma_axilite_slave": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "xdma_num_usr_irq": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "xdma_rnum_rids": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "xdma_wnum_rids": [ { "value": "16", "resolve_type": "user", "format": "long", "usage": "all" } ], + "SYS_RST_N_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "PCIE_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ], + "EGW_IS_PARENT_IP": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "en_gt_selection": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "select_quad": [ { "value": "GTH_Quad_128", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_PPM_OFFSET": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_SSC_PPM": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "INS_LOSS_NYQ": [ { "value": "15", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PHY_LP_TXPRESET": [ { "value": "4", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "coreclk_freq": [ { "value": "500", "resolve_type": "user", "usage": "all" } ], + "plltype": [ { "value": "QPLL1", "resolve_type": "user", "usage": "all" } ], + "xdma_axi_intf_mm": [ { "value": "AXI_Memory_Mapped", "resolve_type": "user", "usage": "all" } ], + "xdma_pcie_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "silicon_rev": [ { "value": "Pre-Production", "resolve_type": "user", "usage": "all" } ], + "xdma_dsc_bypass": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "performance": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pcie_extended_tag": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "rx_detect": [ { "value": "Default", "resolve_type": "user", "usage": "all" } ], + "pf0_link_status_slot_clock_config": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "dsc_bypass_rd": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "dsc_bypass_wr": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "xdma_sts_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_msix_cap_table_size": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_table_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_table_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_pba_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_cap_pba_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_msix_cap_table_size": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_table_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_table_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_pba_offset": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_msix_cap_pba_bir": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "cfg_mgmt_if": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "ins_loss_profile": [ { "value": "Add-in_Card", "resolve_type": "user", "usage": "all" } ], + "axil_master_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "axi_bypass_64bit_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "axil_master_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "xdma_pcie_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axi_bypass_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "cfg_ext_if": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "legacy_cfg_ext_if": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "parity_settings": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "ecc_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "en_debug_ports": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axi_id_width": [ { "value": "4", "resolve_type": "user", "usage": "all" } ], + "vu9p_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "type1_membase_memlimit_enable": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "type1_prefetchable_membase_memlimit": [ { "value": "Disabled", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "enable_jtag_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ltssm_dbg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ibert": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axibar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "axibar_highaddr_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar_highaddr_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "axibar2pciebar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axibar2pciebar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "include_baroffset_reg": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "BASEADDR": [ { "value": "0x00001000", "resolve_type": "user", "usage": "all" } ], + "HIGHADDR": [ { "value": "0x00001FFF", "resolve_type": "user", "usage": "all" } ], + "s_axi_id_width": [ { "value": "4", "value_permission": "bd", "resolve_type": "user", "format": "long", "usage": "all" } ], + "c_m_axi_num_write": [ { "value": "8", "resolve_type": "user", "usage": "all" } ], + "c_m_axi_num_read": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "c_m_axi_num_readq": [ { "value": "2", "resolve_type": "user", "usage": "all" } ], + "c_s_axi_num_write": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "c_s_axi_num_read": [ { "value": "8", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_msix_impl_locn": [ { "value": "Internal", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axi_aclk_loopback": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar1_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pciebar2axibar_6": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "bar_indicator": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "bar0_indicator": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "bar1_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar2_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar3_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar4_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "bar5_indicator": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "barlite2": [ { "value": "7", "resolve_type": "user", "usage": "all" } ], + "en_dbg_descramble": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "vcu118_board": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_pf_enable_reg": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "pf1_vendor_id": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf1_device_id": [ { "value": "1041", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code": [ { "value": "070001", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF1_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_base_class_menu": [ { "value": "Simple_communication_controllers", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_base": [ { "value": "07", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_sub": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf1_sub_class_interface_menu": [ { "value": "16450_compatible_serial_controller", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_interface": [ { "value": "01", "resolve_type": "user", "usage": "all" } ], + "PF1_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "pf1_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF1_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_size": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar0_scale": [ { "value": "Megabytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_64bit": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_64bit": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_type": [ { "value": "Memory", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_device_id": [ { "value": "1040", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code": [ { "value": "058000", "resolve_type": "user", "usage": "all" } ], + "PF2_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF2_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF2_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF2_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf2_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF2_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "pf2_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF2_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_device_id": [ { "value": "1039", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code": [ { "value": "058000", "resolve_type": "user", "usage": "all" } ], + "PF3_REVISION_ID": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF3_SUBSYSTEM_VENDOR_ID": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF3_SUBSYSTEM_ID": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF3_Use_Class_Code_Lookup_Assistant": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_base_class_menu": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_base": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_sub": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf3_sub_class_interface_menu": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_interface": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF3_INTERRUPT_PIN": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "pf3_msi_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF3_MSI_CAP_MULTIMSGCAP": [ { "value": "1_vector", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_type": [ { "value": "Memory", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_size": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "split_dma": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "split_dma_single_pf": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "mult_pf_des": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf_swap": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "prog_usr_irq_vec_map": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "rcfg_nph_fix_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "post_synth_sim_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "user_pf_two_axilite_bar_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "two_bypass_bar": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xlnx_ref_board": [ { "value": "None", "resolve_type": "user", "usage": "all" } ], + "en_l23_entry": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf1_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_pciebar2axibar_6": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_0": [ { "value": "0x0000000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_pciebar2axibar_1": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_2": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_3": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_4": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_pciebar2axibar_5": [ { "value": "0x0000000000000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "gtwiz_in_core_us": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "gtwiz_in_core_usp": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "dma_reset_source_sel": [ { "value": "User_Reset", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "en_dma_and_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_coreclk_es1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pipe_line_stage": [ { "value": "2", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "axis_pipe_line_stage": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "vu9p_tul_ex": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "vcu1525_ddr_ex": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ccix": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "enable_dvsec": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "ext_sys_clk_bufg": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "usr_irq_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axi_vip_in_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xdma_non_incremental_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "xdma_st_infinite_desc_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "gtcom_in_core_usp": [ { "value": "2", "resolve_type": "user", "usage": "all" } ], + "en_mqdma": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "SRIOV_CAP_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "ext_xvc_vsec_enable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "acs_ext_cap_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf1_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf2_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar0_enabled_mqdma": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_type_mqdma": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar0_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf3_bar0_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar1_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar1_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar1_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar2_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar2_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar2_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar3_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar3_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar3_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar4_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar4_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_64bit_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar4_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_bar5_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_type_mqdma": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar5_prefetchable_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_bar5_scale_mqdma": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_bar5_size_mqdma": [ { "value": "128", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "copy_pf0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "copy_sriov_pf0": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_expansion_rom_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_expansion_rom_size": [ { "value": "4", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf0_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf1_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf2_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_enabled": [ { "value": "true", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_type": [ { "value": "DMA", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar0_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar1_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar2_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar3_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_64bit": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_prefetchable": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar4_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_enabled": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_type": [ { "value": "N/A", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_64bit": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_sriov_bar5_prefetchable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_sriov_bar5_size": [ { "value": "2", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "pf3_sriov_bar5_scale": [ { "value": "Kilobytes", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pcie_id_if": [ { "value": "FALSE", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "pf1_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_vendor_id_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_DEVICE_ID_mqdma": [ { "value": "9021", "resolve_type": "user", "usage": "all" } ], + "PF1_DEVICE_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF2_DEVICE_ID_mqdma": [ { "value": "9221", "resolve_type": "user", "usage": "all" } ], + "PF3_DEVICE_ID_mqdma": [ { "value": "9321", "resolve_type": "user", "usage": "all" } ], + "PF0_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF1_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF2_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF3_REVISION_ID_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "PF0_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SUBSYSTEM_VENDOR_ID_mqdma": [ { "value": "10EE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF1_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF2_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "PF3_SUBSYSTEM_ID_mqdma": [ { "value": "0007", "resolve_type": "user", "usage": "all" } ], + "pf0_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_Use_Class_Code_Lookup_Assistant_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf0_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf0_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf1_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf1_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf1_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf2_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf2_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf2_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf3_base_class_menu_mqdma": [ { "value": "Memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_base_mqdma": [ { "value": "05", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_sub_mqdma": [ { "value": "80", "resolve_type": "user", "usage": "all" } ], + "pf3_sub_class_interface_menu_mqdma": [ { "value": "Other_memory_controller", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_interface_mqdma": [ { "value": "00", "resolve_type": "user", "usage": "all" } ], + "pf3_class_code_mqdma": [ { "value": "058000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "SRIOV_FIRST_VF_OFFSET": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "pf0_sriov_cap_ver": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_SRIOV_FUNC_DEP_LINK": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_VF_DEVICE_ID": [ { "value": "A031", "resolve_type": "user", "usage": "all" } ], + "PF0_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF1_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF1_SRIOV_FUNC_DEP_LINK": [ { "value": "0001", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF1_SRIOV_VF_DEVICE_ID": [ { "value": "A131", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF2_SRIOV_FUNC_DEP_LINK": [ { "value": "0002", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF2_SRIOV_VF_DEVICE_ID": [ { "value": "A231", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_CAP_INITIAL_VF": [ { "value": "0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_CAP_VER": [ { "value": "1", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_FIRST_VF_OFFSET": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "PF3_SRIOV_FUNC_DEP_LINK": [ { "value": "0003", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_SRIOV_SUPPORTED_PAGE_SIZE": [ { "value": "00000553", "resolve_type": "user", "usage": "all" } ], + "PF3_SRIOV_VF_DEVICE_ID": [ { "value": "A331", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "pf0_ari_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf1_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf2_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf3_msix_enabled_mqdma": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_SIZE_mqdma": [ { "value": "000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_TABLE_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_PBA_OFFSET_mqdma": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF0_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF1_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF2_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PF3_MSIX_CAP_PBA_BIR_mqdma": [ { "value": "BAR_0", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "MSI_X_OPTIONS": [ { "value": "None", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "dsc_bypass_rd_out": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "dsc_bypass_wr_out": [ { "value": "0000", "resolve_type": "user", "usage": "all" } ], + "num_queues": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "enable_auto_rxeq": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "enable_pcie_debug_ports": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "enable_pcie_debug": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "enable_pcie_debug_axi4_st": [ { "value": "False", "resolve_type": "user", "usage": "all" } ], + "axisten_if_enable_msg_route": [ { "value": "27FFF", "resolve_type": "user", "usage": "all" } ], + "en_axi_mm_mqdma": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_axi_st_mqdma": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_more_clk": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_credits_cd": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "tl_credits_ch": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "set_finite_credit": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_bram_pipeline": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "disable_eq_synchronizer": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_resource_reduction": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "c_ats_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "c_pri_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "usplus_es1_seqnum_bypass": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "bridge_registers_offset_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "enable_gen4": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tandem_enable_rfsoc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "local_test": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "gen4_eieos_0s7": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "c_s_axi_supports_narrow_burst": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_ats_switch": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "c_ats_switch_unique_bdf": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "ctrl_skip_mask": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_ats_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pri_enabled": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "aspm_support": [ { "value": "No_ASPM", "resolve_type": "user", "usage": "all" } ], + "pf0_aer_cap_ecrc_gen_and_check_capable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "gen_pipe_debug": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "soft_reset_en": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "msi_rx_pin_en": [ { "value": "FALSE", "resolve_type": "user", "usage": "all" } ], + "msix_rx_pin_en": [ { "value": "TRUE", "resolve_type": "user", "usage": "all" } ], + "msix_rx_decode_en": [ { "value": "FALSE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "intx_rx_pin_en": [ { "value": "true", "resolve_type": "user", "usage": "all" } ], + "msix_type": [ { "value": "HARD", "resolve_type": "user", "usage": "all" } ], + "cfg_space_enable": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "runbit_fix": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "axsize_byte_access_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_lane_reversal": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_mark_debug": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "master_cal_only": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "enable_multi_pcie": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "rbar_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "pf0_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_rbar_num": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf1_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf2_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar0_index": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar1_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar2_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar3_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar4_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf3_bar5_index": [ { "value": "7", "resolve_type": "user", "format": "long", "usage": "all" } ], + "pf0_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf0_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf1_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf2_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar0": [ { "value": "0x00000000fff0", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar1": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar2": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar3": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar4": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "pf3_rbar_cap_bar5": [ { "value": "0x000000000000", "resolve_type": "user", "usage": "all" } ], + "mpsoc_pl_rp_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "c_smmu_en": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "enable_slave_read_64os": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "m_axib_num_write_scale": [ { "value": "1", "resolve_type": "user", "usage": "all" } ], + "disable_gt_loc": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "use_standard_interfaces": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "dma_2rp": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "disable_user_clock_root": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "flr_enable": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_epyc_chipset_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "usrint_expn": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "shell_bridge": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "msix_pcie_internal": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "warm_reboot_sbr_fix": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "tl_tx_mux_strict_priority": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "en_slot_cap_reg": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "slot_cap_reg": [ { "value": "00000040", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "sim_model": [ { "value": "NO", "resolve_type": "user", "usage": "all" } ], + "versal": [ { "value": "false", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "lane_order": [ { "value": "Bottom", "resolve_type": "user", "usage": "all" } ], + "gt_loc_num": [ { "value": "X99Y99", "resolve_type": "user", "usage": "all" } ], + "example_design_type": [ { "value": "RTL", "resolve_type": "user", "usage": "all" } ], + "enable_error_injection": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "performance_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "descriptor_bypass_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "vdm_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "virtio_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "virtio_perf_exdes": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "bridge_burst": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "insert_cips": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "en_bridge_slv": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "enable_clock_delay_grp": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "replace_uram_with_bram": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "errc_dec_en": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "all_speeds_all_sides": [ { "value": "NO", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_pmesupport_d0": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_pmesupport_d1": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_pmesupport_d3hot": [ { "value": "false", "resolve_type": "user", "usage": "all" } ], + "pf0_pm_cap_supp_d1_state": [ { "value": "false", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "COMPONENT_NAME": [ { "value": "xdma_0", "resolve_type": "generated", "usage": "all" } ], + "PL_UPSTREAM_FACING": [ { "value": "true", "resolve_type": "generated", "usage": "all" } ], + "TL_LEGACY_MODE_ENABLE": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "PCIE_BLK_LOCN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PL_LINK_CAP_MAX_LINK_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PL_LINK_CAP_MAX_LINK_SPEED": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "REF_CLK_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DRP_CLK_SEL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FREE_RUN_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI_ADDR_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXI_DATA_WIDTH": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CORE_CLK_FREQ": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "USER_CLK_FREQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SILICON_REV": [ { "value": "Pre-Production", "resolve_type": "generated", "usage": "all" } ], + "PIPE_SIM": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "VDM_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EXT_CH_GT_DRP": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "PCIE3_DRP": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "DEDICATE_PERST": [ { "value": "true", "resolve_type": "generated", "usage": "all" } ], + "SYS_RESET_POLARITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MCAP_ENABLEMENT": [ { "value": "NONE", "resolve_type": "generated", "usage": "all" } ], + "EXT_STARTUP_PRIMITIVE": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "PF0_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_DEVICE_ID": [ { "value": "0x7021", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SUBSYSTEM_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_CLASS_CODE": [ { "value": "0x070001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_DEVICE_ID": [ { "value": "0x1041", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SUBSYSTEM_VENDOR_ID": [ { "value": "0x10EE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_CLASS_CODE": [ { "value": "0x070001", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_DEVICE_ID": [ { "value": "0x1040", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF2_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_DEVICE_ID": [ { "value": "0x1039", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_REVISION_ID": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF3_SUBSYSTEM_ID": [ { "value": "0x0007", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXILITE_MASTER_APERTURE_SIZE": [ { "value": "0x0D", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXILITE_MASTER_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "XDMA_APERTURE_SIZE": [ { "value": "0x09", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "XDMA_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXIST_BYPASS_APERTURE_SIZE": [ { "value": "0x0D", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXIST_BYPASS_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_INTERRUPT_PIN": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_MSI_CAP_MULTIMSGCAP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_COMP_TIMEOUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TIMEOUT0_SEL": [ { "value": "0xE", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_TIMEOUT1_SEL": [ { "value": "0xF", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_TIMEOUT_MULT": [ { "value": "0x3", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_OLD_BRIDGE_TIMEOUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SHARED_LOGIC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SHARED_LOGIC_CLK": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_BOTH": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_GTC": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_GTC_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_CLK_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "SHARED_LOGIC_BOTH_7XG2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ], + "EN_TRANSCEIVER_STATUS_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "IS_BOARD_PROJECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EN_GT_SELECTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SELECT_QUAD": [ { "value": "GTH_Quad_128", "resolve_type": "generated", "usage": "all" } ], + "ULTRASCALE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ULTRASCALE_PLUS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "VERSAL": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "V7_GEN3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MSI_ENABLED": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "DEV_PORT_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_AXI_INTF_MM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_PCIE_64BIT_EN": [ { "value": "xdma_pcie_64bit_en", "resolve_type": "generated", "usage": "all" } ], + "XDMA_AXILITE_MASTER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_AXIST_BYPASS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_RNUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_WNUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_AXILITE_SLAVE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_NUM_USR_IRQ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_RNUM_RIDS": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_WNUM_RIDS": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EGW_IS_PARENT_IP": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIBAR_NUM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "XDMA_NUM_PCIE_TAG": [ { "value": "64", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EN_AXI_MASTER_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_0": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_1": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_2": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_4": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_5": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_6": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_WCHNL_7": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_0": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_1": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_2": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_3": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_4": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_5": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_6": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_RCHNL_7": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_DSC_BYPASS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_METERING_ON": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "RX_DETECT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ATS_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_ATS_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PR_CAP_NEXTPTR": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PRI_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DSC_BYPASS_RD": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DSC_BYPASS_WR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "XDMA_STS_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MSIX_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH0_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH1_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH2_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "WR_CH3_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH0_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH1_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH2_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RD_CH3_ENABLED": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_MGMT_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "RQ_SEQ_NUM_IGNORE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CFG_EXT_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "LEGACY_CFG_EXT_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_PARITY_CHECK": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PARITY_GEN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PARITY_PROP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ECC_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "EN_DEBUG_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "VU9P_BOARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_JTAG_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_LTSSM_DBG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_IBERT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MM_SLAVE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR_HIGHADDR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_AXIBAR2PCIEBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "EN_AXI_SLAVE_IF": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "C_INCLUDE_BAROFFSET_REG": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_BASEADDR": [ { "value": "0x00001000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_HIGHADDR": [ { "value": "0x00001FFF", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S_AXI_ID_WIDTH": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_NUM_READ": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_READ": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_READQ": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S_AXI_NUM_WRITE": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_WRITE": [ { "value": "4", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_NUM_WRITE_SCALE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MSIX_IMPL_EXT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXI_ACLK_LOOPBACK": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_BAR0_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR1_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR1_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR2_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR2_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR3_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR4_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR4_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR5_APERTURE_SIZE": [ { "value": "0x05", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF0_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIEBAR_NUM": [ { "value": "6", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIEBAR2AXIBAR_6": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "BARLITE2": [ { "value": "7", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VCU118_BOARD": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ENABLE_ERROR_INJECTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SPLIT_DMA": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "USE_STANDARD_INTERFACES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DMA_2RP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SRIOV_ACTIVE_VFS": [ { "value": "252", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PIPE_LINE_STAGE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXIS_PIPE_LINE_STAGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MULT_PF_DES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF_SWAP": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF0_MSIX_TAR_ID": [ { "value": "0x08", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_MSIX_TAR_ID": [ { "value": "0x09", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "RUNBIT_FIX": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "USRINT_EXPN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "xlnx_ref_board": [ { "value": "None", "resolve_type": "generated", "usage": "all" } ], + "GTWIZ_IN_CORE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "GTCOM_IN_CORE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "INS_LOSS_PROFILE": [ { "value": "Add-in_Card", "resolve_type": "generated", "usage": "all" } ], + "FUNC_MODE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF1_ENABLED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_RESET_SOURCE_SEL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "PF1_BAR0_APERTURE_SIZE": [ { "value": "0x12", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR0_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR1_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR1_CONTROL": [ { "value": "0x4", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR2_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR2_CONTROL": [ { "value": "0x6", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR3_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR3_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR4_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR4_CONTROL": [ { "value": "0x6", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR5_APERTURE_SIZE": [ { "value": "0x0A", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_BAR5_CONTROL": [ { "value": "0x0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_EXPANSION_ROM_APERTURE_SIZE": [ { "value": "0x000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_EXPANSION_ROM_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_0": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_1": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_2": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_3": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_4": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_5": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "PF1_PCIEBAR2AXIBAR_6": [ { "value": "0x0000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_MSIX_INT_TABLE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VU9P_TUL_EX": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE_BLK_TYPE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CCIX_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CCIX_DVSEC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EXT_SYS_CLK_BUFG": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_NUM_OF_SC": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "USR_IRQ_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "AXI_VIP_IN_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PIPE_DEBUG_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_NON_INCREMENTAL_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "XDMA_ST_INFINITE_DESC_EXDES": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EXT_XVC_VSEC_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ACS_EXT_CAP_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "EN_PCIE_DEBUG_PORTS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "MULTQ_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_MM": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "DMA_ST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PCIE_PFS_SUPPORTED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SRIOV_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "BARLITE_EXT_PF0": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_EXT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_EXT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_EXT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF0": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "BARLITE_INT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "NUM_VFS_PF0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_VFS_PF1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_VFS_PF2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_VFS_PF3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FIRSTVF_OFFSET_PF3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VF_BARLITE_EXT_PF0": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_EXT_PF1": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_EXT_PF2": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_EXT_PF3": [ { "value": "0x00", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF0": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF1": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF2": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "VF_BARLITE_INT_PF3": [ { "value": "0x01", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_C2H_NUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_H2C_NUM_CHNL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "H2C_XDMA_CHNL": [ { "value": "0x0F", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C2H_XDMA_CHNL": [ { "value": "0x0F", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "AXISTEN_IF_ENABLE_MSG_ROUTE": [ { "value": "0x00000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "ENABLE_MORE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DISABLE_BRAM_PIPELINE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "DISABLE_EQ_SYNCHRONIZER": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_ENABLE_RESOURCE_REDUCTION": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "GEN4_EIEOS_0S7": [ { "value": "TRUE", "resolve_type": "generated", "usage": "all" } ], + "C_S_AXI_SUPPORTS_NARROW_BURST": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "ENABLE_ATS_SWITCH": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_ATS_SWITCH_UNIQUE_BDF": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "BRIDGE_BURST": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "CFG_SPACE_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_LAST_CORE_CAP_ADDR": [ { "value": "0x100", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_VSEC_CAP_ADDR": [ { "value": "0x128", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "SOFT_RESET_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "INTERRUPT_OUT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MSI_RX_PIN_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_MSIX_RX_PIN_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INTX_RX_PIN_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MSIX_RX_DECODE_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "PCIE_ID_IF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "TL_PF_ENABLE_REG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "AXSIZE_BYTE_ACCESS_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SPLIT_DMA_SINGLE_PF": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "RBAR_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "C_SMMU_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_AWUSER_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M_AXI_ARUSER_WIDTH": [ { "value": "8", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SLAVE_READ_64OS_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "FLR_ENABLE": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "SHELL_BRIDGE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "MSIX_PCIE_INTERNAL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "VERSAL_PART_TYPE": [ { "value": "S80", "resolve_type": "generated", "usage": "all" } ], + "TANDEM_RFSOC": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ], + "ERRC_DEC_EN": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "20" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xdma_1_1" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../../../../../xc7k480t/nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "sys_clk": [ { "direction": "in" } ], + "sys_rst_n": [ { "direction": "in", "driver_value": "1" } ], + "user_lnk_up": [ { "direction": "out" } ], + "pci_exp_txp": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "pci_exp_txn": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "pci_exp_rxp": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "pci_exp_rxn": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "axi_aclk": [ { "direction": "out" } ], + "axi_aresetn": [ { "direction": "out" } ], + "usr_irq_req": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "usr_irq_ack": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "msi_enable": [ { "direction": "out" } ], + "msi_vector_width": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awready": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_wready": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_bid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "m_axi_bresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "m_axi_bvalid": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_arready": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_rid": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "m_axi_rdata": [ { "direction": "in", "size_left": "63", "size_right": "0", "driver_value": "0" } ], + "m_axi_rresp": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "m_axi_rlast": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_rvalid": [ { "direction": "in", "driver_value": "0" } ], + "m_axi_awid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_awaddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_awlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_awsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_awprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_awvalid": [ { "direction": "out" } ], + "m_axi_awlock": [ { "direction": "out" } ], + "m_axi_awcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_wdata": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_wstrb": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_wlast": [ { "direction": "out" } ], + "m_axi_wvalid": [ { "direction": "out" } ], + "m_axi_bready": [ { "direction": "out" } ], + "m_axi_arid": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_araddr": [ { "direction": "out", "size_left": "63", "size_right": "0" } ], + "m_axi_arlen": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "m_axi_arsize": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arburst": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "m_axi_arprot": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "m_axi_arvalid": [ { "direction": "out" } ], + "m_axi_arlock": [ { "direction": "out" } ], + "m_axi_arcache": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "m_axi_rready": [ { "direction": "out" } ], + "cfg_mgmt_addr": [ { "direction": "in", "size_left": "18", "size_right": "0", "driver_value": "0" } ], + "cfg_mgmt_write": [ { "direction": "in", "driver_value": "0" } ], + "cfg_mgmt_write_data": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "cfg_mgmt_byte_enable": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "cfg_mgmt_read": [ { "direction": "in", "driver_value": "0" } ], + "cfg_mgmt_read_data": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "cfg_mgmt_read_write_done": [ { "direction": "out" } ], + "cfg_mgmt_type1_cfg_reg_access": [ { "direction": "in", "driver_value": "0" } ] + }, + "interfaces": { + "CLK.SYS_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_util_ds_buf_0_0_IBUF_OUT", "value_src": "default_prop", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "sys_clk" } ] + } + }, + "CLK.axi_aclk": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "master", + "parameters": { + "ASSOCIATED_BUSIF": [ { "value": "M_AXI:S_AXI_B:M_AXI_LITE:S_AXI_LITE:M_AXI_BYPASS:M_AXI_B:S_AXIS_C2H_0:S_AXIS_C2H_1:S_AXIS_C2H_2:S_AXIS_C2H_3:M_AXIS_H2C_0:M_AXIS_H2C_1:M_AXIS_H2C_2:M_AXIS_H2C_3:sc0_ats_m_axis_cq:sc0_ats_m_axis_rc:sc0_ats_s_axis_cc:sc0_ats_s_axis_rq:sc1_ats_m_axis_cq:sc1_ats_m_axis_rc:sc1_ats_s_axis_cc:sc1_ats_s_axis_rq:cxs_tx:cxs_rx", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "ASSOCIATED_RESET": [ { "value": "axi_aresetn", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "usage": "all" } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "axi_aclk" } ] + } + }, + "RST.axi_aresetn": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "master", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "axi_aresetn" } ] + } + }, + "RST.sys_rst_n": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "BOARD.ASSOCIATED_PARAM": [ { "value": "SYS_RST_N_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "TYPE": [ { "value": "PCIE_PERST", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "RST": [ { "physical_name": "sys_rst_n" } ] + } + }, + "RST.user_reset": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_HIGH", "value_src": "constant", "usage": "all" } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "M_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "address_space_ref": "M_AXI", + "parameters": { + "NUM_READ_OUTSTANDING": [ { "value": "32", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "16", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "SUPPORTS_NARROW_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "format": "long", "usage": "all" } ], + "HAS_BURST": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "format": "long", "usage": "all" } ], + "HAS_BURST.VALUE_SRC": [ { "value": "CONSTANT", "value_src": "constant", "value_permission": "bd", "usage": "all" } ], + "DATA_WIDTH": [ { "value": "64", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "62500000", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "4", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "64", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "value_permission": "bd", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "Top_xdma_1_1_axi_aclk", "value_permission": "bd", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "value_src": "user", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "value_permission": "bd", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "m_axi_araddr" } ], + "ARBURST": [ { "physical_name": "m_axi_arburst" } ], + "ARCACHE": [ { "physical_name": "m_axi_arcache" } ], + "ARID": [ { "physical_name": "m_axi_arid" } ], + "ARLEN": [ { "physical_name": "m_axi_arlen" } ], + "ARLOCK": [ { "physical_name": "m_axi_arlock" } ], + "ARPROT": [ { "physical_name": "m_axi_arprot" } ], + "ARREADY": [ { "physical_name": "m_axi_arready" } ], + "ARSIZE": [ { "physical_name": "m_axi_arsize" } ], + "ARVALID": [ { "physical_name": "m_axi_arvalid" } ], + "AWADDR": [ { "physical_name": "m_axi_awaddr" } ], + "AWBURST": [ { "physical_name": "m_axi_awburst" } ], + "AWCACHE": [ { "physical_name": "m_axi_awcache" } ], + "AWID": [ { "physical_name": "m_axi_awid" } ], + "AWLEN": [ { "physical_name": "m_axi_awlen" } ], + "AWLOCK": [ { "physical_name": "m_axi_awlock" } ], + "AWPROT": [ { "physical_name": "m_axi_awprot" } ], + "AWREADY": [ { "physical_name": "m_axi_awready" } ], + "AWSIZE": [ { "physical_name": "m_axi_awsize" } ], + "AWVALID": [ { "physical_name": "m_axi_awvalid" } ], + "BID": [ { "physical_name": "m_axi_bid" } ], + "BREADY": [ { "physical_name": "m_axi_bready" } ], + "BRESP": [ { "physical_name": "m_axi_bresp" } ], + "BVALID": [ { "physical_name": "m_axi_bvalid" } ], + "RDATA": [ { "physical_name": "m_axi_rdata" } ], + "RID": [ { "physical_name": "m_axi_rid" } ], + "RLAST": [ { "physical_name": "m_axi_rlast" } ], + "RREADY": [ { "physical_name": "m_axi_rready" } ], + "RRESP": [ { "physical_name": "m_axi_rresp" } ], + "RVALID": [ { "physical_name": "m_axi_rvalid" } ], + "WDATA": [ { "physical_name": "m_axi_wdata" } ], + "WLAST": [ { "physical_name": "m_axi_wlast" } ], + "WREADY": [ { "physical_name": "m_axi_wready" } ], + "WSTRB": [ { "physical_name": "m_axi_wstrb" } ], + "WVALID": [ { "physical_name": "m_axi_wvalid" } ] + } + }, + "pcie_cfg_mgmt": { + "vlnv": "xilinx.com:interface:pcie_cfg_mgmt:1.0", + "abstraction_type": "xilinx.com:interface:pcie_cfg_mgmt_rtl:1.0", + "mode": "slave", + "port_maps": { + "ADDR": [ { "physical_name": "cfg_mgmt_addr" } ], + "BYTE_EN": [ { "physical_name": "cfg_mgmt_byte_enable" } ], + "READ_DATA": [ { "physical_name": "cfg_mgmt_read_data" } ], + "READ_EN": [ { "physical_name": "cfg_mgmt_read" } ], + "READ_WRITE_DONE": [ { "physical_name": "cfg_mgmt_read_write_done" } ], + "TYPE1_CFG_REG_ACCESS": [ { "physical_name": "cfg_mgmt_type1_cfg_reg_access" } ], + "WRITE_DATA": [ { "physical_name": "cfg_mgmt_write_data" } ], + "WRITE_EN": [ { "physical_name": "cfg_mgmt_write" } ] + } + }, + "pcie_mgt": { + "vlnv": "xilinx.com:interface:pcie_7x_mgt:1.0", + "abstraction_type": "xilinx.com:interface:pcie_7x_mgt_rtl:1.0", + "mode": "master", + "parameters": { + "BOARD.ASSOCIATED_PARAM": [ { "value": "PCIE_BOARD_INTERFACE", "value_src": "constant", "value_permission": "bd", "usage": "all" } ] + }, + "port_maps": { + "rxn": [ { "physical_name": "pci_exp_rxn" } ], + "rxp": [ { "physical_name": "pci_exp_rxp" } ], + "txn": [ { "physical_name": "pci_exp_txn" } ], + "txp": [ { "physical_name": "pci_exp_txp" } ] + } + } + }, + "address_spaces": { + "M_AXI": { + "range": "16777216T", + "width": "64" + }, + "M_AXI_LITE": { + "range": "4G", + "width": "32" + }, + "M_AXI_BYPASS": { + "range": "16777216T", + "width": "64" + }, + "M_AXI_B": { + "range": "16777216T", + "width": "64" + } + }, + "memory_maps": { + "S_AXI_LITE": { + "address_blocks": { + "CTL0": { + "base_address": "0", + "range": "65536", + "usage": "memory", + "access": "read-write", + "parameters": { + "OFFSET_BASE_PARAM": [ { "value": "baseaddr" } ], + "OFFSET_HIGH_PARAM": [ { "value": "highaddr" } ] + } + } + } + }, + "S_AXI_B": { + "address_blocks": { + "BAR0": { + "base_address": "0", + "range": "1048576", + "usage": "memory", + "access": "read-write", + "parameters": { + "OFFSET_BASE_PARAM": [ { "value": "axibar_0" } ], + "OFFSET_HIGH_PARAM": [ { "value": "axibar_highaddr_0" } ] + } + } + } + } + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci index 0b330b9..be90095 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_0/Top_xlconstant_0_0.xci @@ -5,7 +5,7 @@ "cell_name": "xlconstant_0", "component_reference": "xilinx.com:ip:xlconstant:1.1", "ip_revision": "7", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_xlconstant_0_0", "parameters": { "component_parameters": { "Component_Name": [ { "value": "Top_xlconstant_0_0", "resolve_type": "user", "usage": "all" } ], @@ -35,7 +35,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "7" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_xlconstant_0_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_1/Top_xlconstant_0_1.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_1/Top_xlconstant_0_1.xci new file mode 100644 index 0000000..18e6622 --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_0_1/Top_xlconstant_0_1.xci @@ -0,0 +1,51 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "Top_xlconstant_0_1", + "cell_name": "xlconstant_0", + "component_reference": "xilinx.com:ip:xlconstant:1.1", + "ip_revision": "7", + "gen_directory": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_1", + "parameters": { + "component_parameters": { + "Component_Name": [ { "value": "Top_xlconstant_0_1", "resolve_type": "user", "usage": "all" } ], + "CONST_WIDTH": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "CONST_VAL": [ { "value": "1", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "CONST_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "CONST_VAL": [ { "value": "0x1", "resolve_type": "generated", "format": "bitString", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Integrator" } ], + "IPREVISION": [ { "value": "7" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../../../nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_0_1" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../../../../../xc7k480t/nitefury_pcie_xdma_ddr/project/sources/ipshared" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "GLOBAL" } ] + } + }, + "boundary": { + "ports": { + "dout": [ { "direction": "out", "size_left": "0", "size_right": "0" } ] + } + } + } +} \ No newline at end of file diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci index 74f503e..e1a63c3 100644 --- a/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/Top_xlconstant_2_0/Top_xlconstant_2_0.xci @@ -5,7 +5,7 @@ "cell_name": "xlconstant_2", "component_reference": "xilinx.com:ip:xlconstant:1.1", "ip_revision": "7", - "gen_directory": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_2_0", + "gen_directory": "../../../build/my_project.gen/sources_1/ip/Top_xlconstant_2_0", "parameters": { "component_parameters": { "Component_Name": [ { "value": "Top_xlconstant_2_0", "resolve_type": "user", "usage": "all" } ], @@ -35,7 +35,7 @@ "IPCONTEXT": [ { "value": "IP_Integrator" } ], "IPREVISION": [ { "value": "7" } ], "MANAGED": [ { "value": "TRUE" } ], - "OUTPUTDIR": [ { "value": "../../../build/nitefury_xdma_ddr_github.gen/sources_1/bd/Top/ip/Top_xlconstant_2_0" } ], + "OUTPUTDIR": [ { "value": "../../../build/my_project.gen/sources_1/ip/Top_xlconstant_2_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], "SWVERSION": [ { "value": "2022.2" } ], diff --git a/nitefury_pcie_xdma_ddr/project/sources/ip/axi_interconnect_0/axi_interconnect_0.xci b/nitefury_pcie_xdma_ddr/project/sources/ip/axi_interconnect_0/axi_interconnect_0.xci new file mode 100644 index 0000000..c554e9b --- /dev/null +++ b/nitefury_pcie_xdma_ddr/project/sources/ip/axi_interconnect_0/axi_interconnect_0.xci @@ -0,0 +1,1297 @@ +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "axi_interconnect_0", + "component_reference": "xilinx.com:ip:axi_interconnect:1.7", + "ip_revision": "20", + "gen_directory": "../../../../my_project.gen/sources_1/ip/axi_interconnect_0", + "parameters": { + "component_parameters": { + "NUM_SLAVE_PORTS": [ { "value": "5", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "THREAD_ID_WIDTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "INTERCONNECT_DATA_WIDTH": [ { "value": "32", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S01_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S02_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S03_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S04_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S05_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S06_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S07_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S08_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S09_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S10_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S11_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S12_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S13_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S14_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S15_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "user", "usage": "all" } ], + "S00_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_AXI_WRITE_ISSUING": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_AXI_READ_ISSUING": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S01_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S02_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S03_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S04_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S05_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S06_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S07_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S08_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S09_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S10_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S11_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S12_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S13_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S14_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S15_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S00_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S01_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S02_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S03_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S04_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S05_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S06_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S07_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S08_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S09_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S10_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S11_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S12_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S13_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S14_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S15_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S00_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S01_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S02_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S03_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S04_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S05_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S06_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S07_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S08_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S09_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S10_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S11_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S12_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S13_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S14_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S15_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "M00_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "M00_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "S00_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S01_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S02_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S03_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S04_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S05_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S06_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S07_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S08_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S09_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S10_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S11_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S12_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S13_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S14_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S15_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "M00_AXI_REGISTER": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ACLK_PERIOD": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "Component_Name": [ { "value": "axi_interconnect_0", "resolve_type": "user", "usage": "all" } ], + "SYNCHRONIZATION_STAGES": [ { "value": "3", "resolve_type": "user", "format": "long", "usage": "all" } ], + "S00_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S01_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S02_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S03_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S04_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S05_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S06_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S07_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S08_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S09_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S10_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S11_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S12_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S13_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S14_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "S15_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ], + "M00_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_FAMILY": [ { "value": "kintex7", "resolve_type": "generated", "usage": "all" } ], + "C_NUM_SLAVE_PORTS": [ { "value": "5", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_THREAD_ID_WIDTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_THREAD_ID_PORT_WIDTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_AXI_ADDR_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SYNCHRONIZER_STAGE": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S00_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S01_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S02_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S03_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S04_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S05_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S06_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S07_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S08_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S09_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S10_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S11_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S12_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S13_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S14_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S15_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M00_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INTERCONNECT_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S00_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S01_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S02_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S03_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S04_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S05_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S06_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S07_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S08_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S09_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S10_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S11_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S12_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S13_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S14_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S15_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_S00_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S01_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S02_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S03_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S04_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S05_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S06_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S07_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S08_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S09_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S10_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S11_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S12_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S13_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S14_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S15_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M00_AXI_ACLK_RATIO": [ { "value": "1:1", "resolve_type": "generated", "usage": "all" } ], + "C_M00_AXI_IS_ACLK_ASYNC": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S00_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S01_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S02_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S03_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S04_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S05_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S06_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S07_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S08_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S09_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S10_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S11_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S12_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S13_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S14_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S15_AXI_READ_WRITE_SUPPORT": [ { "value": "READ/WRITE", "resolve_type": "generated", "usage": "all" } ], + "C_S00_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S01_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S02_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S03_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S04_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S05_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S06_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S07_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S08_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S09_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S10_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S11_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S12_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S13_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S14_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S15_AXI_WRITE_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S00_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S01_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S02_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S03_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S04_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S05_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S06_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S07_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S08_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S09_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S10_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S11_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S12_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S13_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S14_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S15_AXI_READ_ACCEPTANCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M00_AXI_WRITE_ISSUING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M00_AXI_READ_ISSUING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S00_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S01_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S02_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S03_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S04_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S05_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S06_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S07_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S08_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S09_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S10_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S11_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S12_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S13_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S14_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S15_AXI_ARB_PRIORITY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S00_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S01_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S02_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S03_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S04_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S05_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S06_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S07_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S08_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S09_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S10_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S11_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S12_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S13_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S14_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S15_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S00_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S01_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S02_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S03_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S04_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S05_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S06_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S07_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S08_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S09_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S10_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S11_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S12_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S13_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S14_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S15_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M00_AXI_WRITE_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_M00_AXI_READ_FIFO_DEPTH": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_S00_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S01_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S02_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S03_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S04_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S05_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S06_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S07_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S08_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S09_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S10_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S11_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S12_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S13_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S14_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S15_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S00_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S01_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S02_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S03_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S04_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S05_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S06_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S07_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S08_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S09_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S10_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S11_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S12_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S13_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S14_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S15_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M00_AXI_WRITE_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M00_AXI_READ_FIFO_DELAY": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S00_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S01_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S02_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S03_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S04_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S05_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S06_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S07_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S08_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S09_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S10_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S11_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S12_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S13_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S14_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_S15_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_M00_AXI_REGISTER": [ { "value": "0", "resolve_type": "generated", "format": "bitString", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintex7" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xc7k480t" } ], + "PACKAGE": [ { "value": "ffg1156" } ], + "PREFHDL": [ { "value": "VERILOG" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2L" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Flow" } ], + "IPREVISION": [ { "value": "20" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../my_project.gen/sources_1/ip/axi_interconnect_0" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "." } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "INTERCONNECT_ACLK": [ { "direction": "in" } ], + "INTERCONNECT_ARESETN": [ { "direction": "in" } ], + "S00_AXI_ARESET_OUT_N": [ { "direction": "out" } ], + "S00_AXI_ACLK": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_AWID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_AWCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_AWVALID": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_AWREADY": [ { "direction": "out" } ], + "S00_AXI_WDATA": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_WSTRB": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_WLAST": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_WVALID": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_WREADY": [ { "direction": "out" } ], + "S00_AXI_BID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S00_AXI_BRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S00_AXI_BVALID": [ { "direction": "out" } ], + "S00_AXI_BREADY": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_ARID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_ARCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S00_AXI_ARVALID": [ { "direction": "in", "driver_value": "0" } ], + "S00_AXI_ARREADY": [ { "direction": "out" } ], + "S00_AXI_RID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S00_AXI_RDATA": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "S00_AXI_RRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S00_AXI_RLAST": [ { "direction": "out" } ], + "S00_AXI_RVALID": [ { "direction": "out" } ], + "S00_AXI_RREADY": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_ARESET_OUT_N": [ { "direction": "out" } ], + "S01_AXI_ACLK": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_AWID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_AWCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_AWVALID": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_AWREADY": [ { "direction": "out" } ], + "S01_AXI_WDATA": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_WSTRB": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_WLAST": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_WVALID": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_WREADY": [ { "direction": "out" } ], + "S01_AXI_BID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S01_AXI_BRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S01_AXI_BVALID": [ { "direction": "out" } ], + "S01_AXI_BREADY": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_ARID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_ARCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S01_AXI_ARVALID": [ { "direction": "in", "driver_value": "0" } ], + "S01_AXI_ARREADY": [ { "direction": "out" } ], + "S01_AXI_RID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S01_AXI_RDATA": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "S01_AXI_RRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S01_AXI_RLAST": [ { "direction": "out" } ], + "S01_AXI_RVALID": [ { "direction": "out" } ], + "S01_AXI_RREADY": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_ARESET_OUT_N": [ { "direction": "out" } ], + "S02_AXI_ACLK": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_AWID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_AWCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_AWVALID": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_AWREADY": [ { "direction": "out" } ], + "S02_AXI_WDATA": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_WSTRB": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_WLAST": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_WVALID": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_WREADY": [ { "direction": "out" } ], + "S02_AXI_BID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S02_AXI_BRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S02_AXI_BVALID": [ { "direction": "out" } ], + "S02_AXI_BREADY": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_ARID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_ARCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S02_AXI_ARVALID": [ { "direction": "in", "driver_value": "0" } ], + "S02_AXI_ARREADY": [ { "direction": "out" } ], + "S02_AXI_RID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S02_AXI_RDATA": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "S02_AXI_RRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S02_AXI_RLAST": [ { "direction": "out" } ], + "S02_AXI_RVALID": [ { "direction": "out" } ], + "S02_AXI_RREADY": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_ARESET_OUT_N": [ { "direction": "out" } ], + "S03_AXI_ACLK": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_AWID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_AWCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_AWVALID": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_AWREADY": [ { "direction": "out" } ], + "S03_AXI_WDATA": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_WSTRB": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_WLAST": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_WVALID": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_WREADY": [ { "direction": "out" } ], + "S03_AXI_BID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S03_AXI_BRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S03_AXI_BVALID": [ { "direction": "out" } ], + "S03_AXI_BREADY": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_ARID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_ARCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S03_AXI_ARVALID": [ { "direction": "in", "driver_value": "0" } ], + "S03_AXI_ARREADY": [ { "direction": "out" } ], + "S03_AXI_RID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S03_AXI_RDATA": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "S03_AXI_RRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S03_AXI_RLAST": [ { "direction": "out" } ], + "S03_AXI_RVALID": [ { "direction": "out" } ], + "S03_AXI_RREADY": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_ARESET_OUT_N": [ { "direction": "out" } ], + "S04_AXI_ACLK": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_AWID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_AWCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_AWVALID": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_AWREADY": [ { "direction": "out" } ], + "S04_AXI_WDATA": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_WSTRB": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_WLAST": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_WVALID": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_WREADY": [ { "direction": "out" } ], + "S04_AXI_BID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S04_AXI_BRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S04_AXI_BVALID": [ { "direction": "out" } ], + "S04_AXI_BREADY": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_ARID": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARADDR": [ { "direction": "in", "size_left": "31", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARLEN": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARSIZE": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARBURST": [ { "direction": "in", "size_left": "1", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARLOCK": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_ARCACHE": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARPROT": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARQOS": [ { "direction": "in", "size_left": "3", "size_right": "0", "driver_value": "0" } ], + "S04_AXI_ARVALID": [ { "direction": "in", "driver_value": "0" } ], + "S04_AXI_ARREADY": [ { "direction": "out" } ], + "S04_AXI_RID": [ { "direction": "out", "size_left": "0", "size_right": "0" } ], + "S04_AXI_RDATA": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "S04_AXI_RRESP": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "S04_AXI_RLAST": [ { "direction": "out" } ], + "S04_AXI_RVALID": [ { "direction": "out" } ], + "S04_AXI_RREADY": [ { "direction": "in", "driver_value": "0" } ], + "M00_AXI_ARESET_OUT_N": [ { "direction": "out" } ], + "M00_AXI_ACLK": [ { "direction": "in" } ], + "M00_AXI_AWID": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "M00_AXI_AWADDR": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "M00_AXI_AWLEN": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "M00_AXI_AWSIZE": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "M00_AXI_AWBURST": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "M00_AXI_AWLOCK": [ { "direction": "out" } ], + "M00_AXI_AWCACHE": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "M00_AXI_AWPROT": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "M00_AXI_AWQOS": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "M00_AXI_AWVALID": [ { "direction": "out" } ], + "M00_AXI_AWREADY": [ { "direction": "in" } ], + "M00_AXI_WDATA": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "M00_AXI_WSTRB": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "M00_AXI_WLAST": [ { "direction": "out" } ], + "M00_AXI_WVALID": [ { "direction": "out" } ], + "M00_AXI_WREADY": [ { "direction": "in" } ], + "M00_AXI_BID": [ { "direction": "in", "size_left": "3", "size_right": "0" } ], + "M00_AXI_BRESP": [ { "direction": "in", "size_left": "1", "size_right": "0" } ], + "M00_AXI_BVALID": [ { "direction": "in" } ], + "M00_AXI_BREADY": [ { "direction": "out" } ], + "M00_AXI_ARID": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "M00_AXI_ARADDR": [ { "direction": "out", "size_left": "31", "size_right": "0" } ], + "M00_AXI_ARLEN": [ { "direction": "out", "size_left": "7", "size_right": "0" } ], + "M00_AXI_ARSIZE": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "M00_AXI_ARBURST": [ { "direction": "out", "size_left": "1", "size_right": "0" } ], + "M00_AXI_ARLOCK": [ { "direction": "out" } ], + "M00_AXI_ARCACHE": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "M00_AXI_ARPROT": [ { "direction": "out", "size_left": "2", "size_right": "0" } ], + "M00_AXI_ARQOS": [ { "direction": "out", "size_left": "3", "size_right": "0" } ], + "M00_AXI_ARVALID": [ { "direction": "out" } ], + "M00_AXI_ARREADY": [ { "direction": "in" } ], + "M00_AXI_RID": [ { "direction": "in", "size_left": "3", "size_right": "0" } ], + "M00_AXI_RDATA": [ { "direction": "in", "size_left": "31", "size_right": "0" } ], + "M00_AXI_RRESP": [ { "direction": "in", "size_left": "1", "size_right": "0" } ], + "M00_AXI_RLAST": [ { "direction": "in" } ], + "M00_AXI_RVALID": [ { "direction": "in" } ], + "M00_AXI_RREADY": [ { "direction": "out" } ] + }, + "interfaces": { + "INTERCONNECT_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "INTERCONNECT_ACLK" } ] + } + }, + "S00_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "S00_AXI_ACLK" } ] + } + }, + "S01_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "S01_AXI_ACLK" } ] + } + }, + "S02_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "S02_AXI_ACLK" } ] + } + }, + "S03_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "S03_AXI_ACLK" } ] + } + }, + "S04_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "S04_AXI_ACLK" } ] + } + }, + "M00_CLK": { + "vlnv": "xilinx.com:signal:clock:1.0", + "abstraction_type": "xilinx.com:signal:clock_rtl:1.0", + "mode": "slave", + "parameters": { + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "CLK": [ { "physical_name": "M00_AXI_ACLK" } ] + } + }, + "INTERCONNECT_RST": { + "vlnv": "xilinx.com:signal:reset:1.0", + "abstraction_type": "xilinx.com:signal:reset_rtl:1.0", + "mode": "slave", + "parameters": { + "POLARITY": [ { "value": "ACTIVE_LOW", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + } + }, + "AXI4_SLAVE_S00_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "S00_AXI_ARADDR" } ], + "ARBURST": [ { "physical_name": "S00_AXI_ARBURST" } ], + "ARCACHE": [ { "physical_name": "S00_AXI_ARCACHE" } ], + "ARID": [ { "physical_name": "S00_AXI_ARID" } ], + "ARLEN": [ { "physical_name": "S00_AXI_ARLEN" } ], + "ARLOCK": [ { "physical_name": "S00_AXI_ARLOCK" } ], + "ARPROT": [ { "physical_name": "S00_AXI_ARPROT" } ], + "ARQOS": [ { "physical_name": "S00_AXI_ARQOS" } ], + "ARREADY": [ { "physical_name": "S00_AXI_ARREADY" } ], + "ARSIZE": [ { "physical_name": "S00_AXI_ARSIZE" } ], + "ARVALID": [ { "physical_name": "S00_AXI_ARVALID" } ], + "AWADDR": [ { "physical_name": "S00_AXI_AWADDR" } ], + "AWBURST": [ { "physical_name": "S00_AXI_AWBURST" } ], + "AWCACHE": [ { "physical_name": "S00_AXI_AWCACHE" } ], + "AWID": [ { "physical_name": "S00_AXI_AWID" } ], + "AWLEN": [ { "physical_name": "S00_AXI_AWLEN" } ], + "AWLOCK": [ { "physical_name": "S00_AXI_AWLOCK" } ], + "AWPROT": [ { "physical_name": "S00_AXI_AWPROT" } ], + "AWQOS": [ { "physical_name": "S00_AXI_AWQOS" } ], + "AWREADY": [ { "physical_name": "S00_AXI_AWREADY" } ], + "AWSIZE": [ { "physical_name": "S00_AXI_AWSIZE" } ], + "AWVALID": [ { "physical_name": "S00_AXI_AWVALID" } ], + "BID": [ { "physical_name": "S00_AXI_BID" } ], + "BREADY": [ { "physical_name": "S00_AXI_BREADY" } ], + "BRESP": [ { "physical_name": "S00_AXI_BRESP" } ], + "BVALID": [ { "physical_name": "S00_AXI_BVALID" } ], + "RDATA": [ { "physical_name": "S00_AXI_RDATA" } ], + "RID": [ { "physical_name": "S00_AXI_RID" } ], + "RLAST": [ { "physical_name": "S00_AXI_RLAST" } ], + "RREADY": [ { "physical_name": "S00_AXI_RREADY" } ], + "RRESP": [ { "physical_name": "S00_AXI_RRESP" } ], + "RVALID": [ { "physical_name": "S00_AXI_RVALID" } ], + "WDATA": [ { "physical_name": "S00_AXI_WDATA" } ], + "WLAST": [ { "physical_name": "S00_AXI_WLAST" } ], + "WREADY": [ { "physical_name": "S00_AXI_WREADY" } ], + "WSTRB": [ { "physical_name": "S00_AXI_WSTRB" } ], + "WVALID": [ { "physical_name": "S00_AXI_WVALID" } ] + } + }, + "AXI4_SLAVE_S02_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "S02_AXI_ARADDR" } ], + "ARBURST": [ { "physical_name": "S02_AXI_ARBURST" } ], + "ARCACHE": [ { "physical_name": "S02_AXI_ARCACHE" } ], + "ARID": [ { "physical_name": "S02_AXI_ARID" } ], + "ARLEN": [ { "physical_name": "S02_AXI_ARLEN" } ], + "ARLOCK": [ { "physical_name": "S02_AXI_ARLOCK" } ], + "ARPROT": [ { "physical_name": "S02_AXI_ARPROT" } ], + "ARQOS": [ { "physical_name": "S02_AXI_ARQOS" } ], + "ARREADY": [ { "physical_name": "S02_AXI_ARREADY" } ], + "ARSIZE": [ { "physical_name": "S02_AXI_ARSIZE" } ], + "ARVALID": [ { "physical_name": "S02_AXI_ARVALID" } ], + "AWADDR": [ { "physical_name": "S02_AXI_AWADDR" } ], + "AWBURST": [ { "physical_name": "S02_AXI_AWBURST" } ], + "AWCACHE": [ { "physical_name": "S02_AXI_AWCACHE" } ], + "AWID": [ { "physical_name": "S02_AXI_AWID" } ], + "AWLEN": [ { "physical_name": "S02_AXI_AWLEN" } ], + "AWLOCK": [ { "physical_name": "S02_AXI_AWLOCK" } ], + "AWPROT": [ { "physical_name": "S02_AXI_AWPROT" } ], + "AWQOS": [ { "physical_name": "S02_AXI_AWQOS" } ], + "AWREADY": [ { "physical_name": "S02_AXI_AWREADY" } ], + "AWSIZE": [ { "physical_name": "S02_AXI_AWSIZE" } ], + "AWVALID": [ { "physical_name": "S02_AXI_AWVALID" } ], + "BID": [ { "physical_name": "S02_AXI_BID" } ], + "BREADY": [ { "physical_name": "S02_AXI_BREADY" } ], + "BRESP": [ { "physical_name": "S02_AXI_BRESP" } ], + "BVALID": [ { "physical_name": "S02_AXI_BVALID" } ], + "RDATA": [ { "physical_name": "S02_AXI_RDATA" } ], + "RID": [ { "physical_name": "S02_AXI_RID" } ], + "RLAST": [ { "physical_name": "S02_AXI_RLAST" } ], + "RREADY": [ { "physical_name": "S02_AXI_RREADY" } ], + "RRESP": [ { "physical_name": "S02_AXI_RRESP" } ], + "RVALID": [ { "physical_name": "S02_AXI_RVALID" } ], + "WDATA": [ { "physical_name": "S02_AXI_WDATA" } ], + "WLAST": [ { "physical_name": "S02_AXI_WLAST" } ], + "WREADY": [ { "physical_name": "S02_AXI_WREADY" } ], + "WSTRB": [ { "physical_name": "S02_AXI_WSTRB" } ], + "WVALID": [ { "physical_name": "S02_AXI_WVALID" } ] + } + }, + "AXI4_MASTER_M00_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "master", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "constant", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "4", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "constant", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "M00_AXI_ARADDR" } ], + "ARBURST": [ { "physical_name": "M00_AXI_ARBURST" } ], + "ARCACHE": [ { "physical_name": "M00_AXI_ARCACHE" } ], + "ARID": [ { "physical_name": "M00_AXI_ARID" } ], + "ARLEN": [ { "physical_name": "M00_AXI_ARLEN" } ], + "ARLOCK": [ { "physical_name": "M00_AXI_ARLOCK" } ], + "ARPROT": [ { "physical_name": "M00_AXI_ARPROT" } ], + "ARQOS": [ { "physical_name": "M00_AXI_ARQOS" } ], + "ARREADY": [ { "physical_name": "M00_AXI_ARREADY" } ], + "ARSIZE": [ { "physical_name": "M00_AXI_ARSIZE" } ], + "ARVALID": [ { "physical_name": "M00_AXI_ARVALID" } ], + "AWADDR": [ { "physical_name": "M00_AXI_AWADDR" } ], + "AWBURST": [ { "physical_name": "M00_AXI_AWBURST" } ], + "AWCACHE": [ { "physical_name": "M00_AXI_AWCACHE" } ], + "AWID": [ { "physical_name": "M00_AXI_AWID" } ], + "AWLEN": [ { "physical_name": "M00_AXI_AWLEN" } ], + "AWLOCK": [ { "physical_name": "M00_AXI_AWLOCK" } ], + "AWPROT": [ { "physical_name": "M00_AXI_AWPROT" } ], + "AWQOS": [ { "physical_name": "M00_AXI_AWQOS" } ], + "AWREADY": [ { "physical_name": "M00_AXI_AWREADY" } ], + "AWSIZE": [ { "physical_name": "M00_AXI_AWSIZE" } ], + "AWVALID": [ { "physical_name": "M00_AXI_AWVALID" } ], + "BID": [ { "physical_name": "M00_AXI_BID" } ], + "BREADY": [ { "physical_name": "M00_AXI_BREADY" } ], + "BRESP": [ { "physical_name": "M00_AXI_BRESP" } ], + "BVALID": [ { "physical_name": "M00_AXI_BVALID" } ], + "RDATA": [ { "physical_name": "M00_AXI_RDATA" } ], + "RID": [ { "physical_name": "M00_AXI_RID" } ], + "RLAST": [ { "physical_name": "M00_AXI_RLAST" } ], + "RREADY": [ { "physical_name": "M00_AXI_RREADY" } ], + "RRESP": [ { "physical_name": "M00_AXI_RRESP" } ], + "RVALID": [ { "physical_name": "M00_AXI_RVALID" } ], + "WDATA": [ { "physical_name": "M00_AXI_WDATA" } ], + "WLAST": [ { "physical_name": "M00_AXI_WLAST" } ], + "WREADY": [ { "physical_name": "M00_AXI_WREADY" } ], + "WSTRB": [ { "physical_name": "M00_AXI_WSTRB" } ], + "WVALID": [ { "physical_name": "M00_AXI_WVALID" } ] + } + }, + "AXI4_SLAVE_S01_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "S01_AXI_ARADDR" } ], + "ARBURST": [ { "physical_name": "S01_AXI_ARBURST" } ], + "ARCACHE": [ { "physical_name": "S01_AXI_ARCACHE" } ], + "ARID": [ { "physical_name": "S01_AXI_ARID" } ], + "ARLEN": [ { "physical_name": "S01_AXI_ARLEN" } ], + "ARLOCK": [ { "physical_name": "S01_AXI_ARLOCK" } ], + "ARPROT": [ { "physical_name": "S01_AXI_ARPROT" } ], + "ARQOS": [ { "physical_name": "S01_AXI_ARQOS" } ], + "ARREADY": [ { "physical_name": "S01_AXI_ARREADY" } ], + "ARSIZE": [ { "physical_name": "S01_AXI_ARSIZE" } ], + "ARVALID": [ { "physical_name": "S01_AXI_ARVALID" } ], + "AWADDR": [ { "physical_name": "S01_AXI_AWADDR" } ], + "AWBURST": [ { "physical_name": "S01_AXI_AWBURST" } ], + "AWCACHE": [ { "physical_name": "S01_AXI_AWCACHE" } ], + "AWID": [ { "physical_name": "S01_AXI_AWID" } ], + "AWLEN": [ { "physical_name": "S01_AXI_AWLEN" } ], + "AWLOCK": [ { "physical_name": "S01_AXI_AWLOCK" } ], + "AWPROT": [ { "physical_name": "S01_AXI_AWPROT" } ], + "AWQOS": [ { "physical_name": "S01_AXI_AWQOS" } ], + "AWREADY": [ { "physical_name": "S01_AXI_AWREADY" } ], + "AWSIZE": [ { "physical_name": "S01_AXI_AWSIZE" } ], + "AWVALID": [ { "physical_name": "S01_AXI_AWVALID" } ], + "BID": [ { "physical_name": "S01_AXI_BID" } ], + "BREADY": [ { "physical_name": "S01_AXI_BREADY" } ], + "BRESP": [ { "physical_name": "S01_AXI_BRESP" } ], + "BVALID": [ { "physical_name": "S01_AXI_BVALID" } ], + "RDATA": [ { "physical_name": "S01_AXI_RDATA" } ], + "RID": [ { "physical_name": "S01_AXI_RID" } ], + "RLAST": [ { "physical_name": "S01_AXI_RLAST" } ], + "RREADY": [ { "physical_name": "S01_AXI_RREADY" } ], + "RRESP": [ { "physical_name": "S01_AXI_RRESP" } ], + "RVALID": [ { "physical_name": "S01_AXI_RVALID" } ], + "WDATA": [ { "physical_name": "S01_AXI_WDATA" } ], + "WLAST": [ { "physical_name": "S01_AXI_WLAST" } ], + "WREADY": [ { "physical_name": "S01_AXI_WREADY" } ], + "WSTRB": [ { "physical_name": "S01_AXI_WSTRB" } ], + "WVALID": [ { "physical_name": "S01_AXI_WVALID" } ] + } + }, + "AXI4_SLAVE_S03_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "S03_AXI_ARADDR" } ], + "ARBURST": [ { "physical_name": "S03_AXI_ARBURST" } ], + "ARCACHE": [ { "physical_name": "S03_AXI_ARCACHE" } ], + "ARID": [ { "physical_name": "S03_AXI_ARID" } ], + "ARLEN": [ { "physical_name": "S03_AXI_ARLEN" } ], + "ARLOCK": [ { "physical_name": "S03_AXI_ARLOCK" } ], + "ARPROT": [ { "physical_name": "S03_AXI_ARPROT" } ], + "ARQOS": [ { "physical_name": "S03_AXI_ARQOS" } ], + "ARREADY": [ { "physical_name": "S03_AXI_ARREADY" } ], + "ARSIZE": [ { "physical_name": "S03_AXI_ARSIZE" } ], + "ARVALID": [ { "physical_name": "S03_AXI_ARVALID" } ], + "AWADDR": [ { "physical_name": "S03_AXI_AWADDR" } ], + "AWBURST": [ { "physical_name": "S03_AXI_AWBURST" } ], + "AWCACHE": [ { "physical_name": "S03_AXI_AWCACHE" } ], + "AWID": [ { "physical_name": "S03_AXI_AWID" } ], + "AWLEN": [ { "physical_name": "S03_AXI_AWLEN" } ], + "AWLOCK": [ { "physical_name": "S03_AXI_AWLOCK" } ], + "AWPROT": [ { "physical_name": "S03_AXI_AWPROT" } ], + "AWQOS": [ { "physical_name": "S03_AXI_AWQOS" } ], + "AWREADY": [ { "physical_name": "S03_AXI_AWREADY" } ], + "AWSIZE": [ { "physical_name": "S03_AXI_AWSIZE" } ], + "AWVALID": [ { "physical_name": "S03_AXI_AWVALID" } ], + "BID": [ { "physical_name": "S03_AXI_BID" } ], + "BREADY": [ { "physical_name": "S03_AXI_BREADY" } ], + "BRESP": [ { "physical_name": "S03_AXI_BRESP" } ], + "BVALID": [ { "physical_name": "S03_AXI_BVALID" } ], + "RDATA": [ { "physical_name": "S03_AXI_RDATA" } ], + "RID": [ { "physical_name": "S03_AXI_RID" } ], + "RLAST": [ { "physical_name": "S03_AXI_RLAST" } ], + "RREADY": [ { "physical_name": "S03_AXI_RREADY" } ], + "RRESP": [ { "physical_name": "S03_AXI_RRESP" } ], + "RVALID": [ { "physical_name": "S03_AXI_RVALID" } ], + "WDATA": [ { "physical_name": "S03_AXI_WDATA" } ], + "WLAST": [ { "physical_name": "S03_AXI_WLAST" } ], + "WREADY": [ { "physical_name": "S03_AXI_WREADY" } ], + "WSTRB": [ { "physical_name": "S03_AXI_WSTRB" } ], + "WVALID": [ { "physical_name": "S03_AXI_WVALID" } ] + } + }, + "AXI4_SLAVE_S04_AXI": { + "vlnv": "xilinx.com:interface:aximm:1.0", + "abstraction_type": "xilinx.com:interface:aximm_rtl:1.0", + "mode": "slave", + "parameters": { + "DATA_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PROTOCOL": [ { "value": "AXI4", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ID_WIDTH": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ADDR_WIDTH": [ { "value": "32", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "AWUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "ARUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "BUSER_WIDTH": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "READ_WRITE_MODE": [ { "value": "READ_WRITE", "value_src": "auto", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_LOCK": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_PROT": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_CACHE": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_QOS": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_REGION": [ { "value": "0", "value_src": "constant", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_WSTRB": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_BRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "HAS_RRESP": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "SUPPORTS_NARROW_BURST": [ { "value": "1", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_OUTSTANDING": [ { "value": "2", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "MAX_BURST_LENGTH": [ { "value": "256", "value_src": "auto", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_READ_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "NUM_WRITE_THREADS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "RUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "WUSER_BITS_PER_BYTE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "simulation.tlm", "is_ips_inferred": true, "is_static_object": false } ], + "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ] + }, + "port_maps": { + "ARADDR": [ { "physical_name": "S04_AXI_ARADDR" } ], + "ARBURST": [ { "physical_name": "S04_AXI_ARBURST" } ], + "ARCACHE": [ { "physical_name": "S04_AXI_ARCACHE" } ], + "ARID": [ { "physical_name": "S04_AXI_ARID" } ], + "ARLEN": [ { "physical_name": "S04_AXI_ARLEN" } ], + "ARLOCK": [ { "physical_name": "S04_AXI_ARLOCK" } ], + "ARPROT": [ { "physical_name": "S04_AXI_ARPROT" } ], + "ARQOS": [ { "physical_name": "S04_AXI_ARQOS" } ], + "ARREADY": [ { "physical_name": "S04_AXI_ARREADY" } ], + "ARSIZE": [ { "physical_name": "S04_AXI_ARSIZE" } ], + "ARVALID": [ { "physical_name": "S04_AXI_ARVALID" } ], + "AWADDR": [ { "physical_name": "S04_AXI_AWADDR" } ], + "AWBURST": [ { "physical_name": "S04_AXI_AWBURST" } ], + "AWCACHE": [ { "physical_name": "S04_AXI_AWCACHE" } ], + "AWID": [ { "physical_name": "S04_AXI_AWID" } ], + "AWLEN": [ { "physical_name": "S04_AXI_AWLEN" } ], + "AWLOCK": [ { "physical_name": "S04_AXI_AWLOCK" } ], + "AWPROT": [ { "physical_name": "S04_AXI_AWPROT" } ], + "AWQOS": [ { "physical_name": "S04_AXI_AWQOS" } ], + "AWREADY": [ { "physical_name": "S04_AXI_AWREADY" } ], + "AWSIZE": [ { "physical_name": "S04_AXI_AWSIZE" } ], + "AWVALID": [ { "physical_name": "S04_AXI_AWVALID" } ], + "BID": [ { "physical_name": "S04_AXI_BID" } ], + "BREADY": [ { "physical_name": "S04_AXI_BREADY" } ], + "BRESP": [ { "physical_name": "S04_AXI_BRESP" } ], + "BVALID": [ { "physical_name": "S04_AXI_BVALID" } ], + "RDATA": [ { "physical_name": "S04_AXI_RDATA" } ], + "RID": [ { "physical_name": "S04_AXI_RID" } ], + "RLAST": [ { "physical_name": "S04_AXI_RLAST" } ], + "RREADY": [ { "physical_name": "S04_AXI_RREADY" } ], + "RRESP": [ { "physical_name": "S04_AXI_RRESP" } ], + "RVALID": [ { "physical_name": "S04_AXI_RVALID" } ], + "WDATA": [ { "physical_name": "S04_AXI_WDATA" } ], + "WLAST": [ { "physical_name": "S04_AXI_WLAST" } ], + "WREADY": [ { "physical_name": "S04_AXI_WREADY" } ], + "WSTRB": [ { "physical_name": "S04_AXI_WSTRB" } ], + "WVALID": [ { "physical_name": "S04_AXI_WVALID" } ] + } + } + } + } + } +} \ No newline at end of file