21 lines
2.3 KiB
Tcl
21 lines
2.3 KiB
Tcl
set_property PACKAGE_PIN J8 [get_ports {diff_clock_rtl_0_clk_p[0]}]
|
|
set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl_0]
|
|
set_property PACKAGE_PIN Y26 [get_ports reset_rtl_0]
|
|
|
|
set_property LOC GTXE2_CHANNEL_X0Y23 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN F2 [get_ports {pcie_7x_mgt_rtl_0_txp[0]}]
|
|
set_property LOC GTXE2_CHANNEL_X0Y22 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[1].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN H2 [get_ports {pcie_7x_mgt_rtl_0_txp[1]}]
|
|
set_property LOC GTXE2_CHANNEL_X0Y21 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[2].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN K2 [get_ports {pcie_7x_mgt_rtl_0_txp[2]}]
|
|
set_property LOC GTXE2_CHANNEL_X0Y20 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[3].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN M2 [get_ports {pcie_7x_mgt_rtl_0_txp[3]}]
|
|
set_property LOC GTXE2_CHANNEL_X0Y19 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[4].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN N4 [get_ports {pcie_7x_mgt_rtl_0_txp[4]}]
|
|
set_property LOC GTXE2_CHANNEL_X0Y18 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[5].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN P2 [get_ports {pcie_7x_mgt_rtl_0_txp[5]}]
|
|
set_property LOC GTXE2_CHANNEL_X0Y17 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[6].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN T2 [get_ports {pcie_7x_mgt_rtl_0_txp[6]}]
|
|
set_property LOC GTXE2_CHANNEL_X0Y16 [get_cells {top_i/xdma_0/inst/top_xdma_0_0_pcie2_to_pcie3_wrapper_i/pcie2_ip_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[7].gt_wrapper_i/gtx_channel.gtxe2_channel_i}]
|
|
set_property PACKAGE_PIN U4 [get_ports {pcie_7x_mgt_rtl_0_txp[7]}]
|