abstractaccelerator/design/ifu/ifu_ic_mem.sv

575 lines
27 KiB
Systemverilog
Raw Normal View History

2019-06-04 22:57:48 +08:00
//********************************************************************************
// SPDX-License-Identifier: Apache-2.0
// Copyright 2019 Western Digital Corporation or it's affiliates.
2019-06-04 22:57:48 +08:00
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
//********************************************************************************
////////////////////////////////////////////////////
// ICACHE DATA & TAG MODULE WRAPPER //
/////////////////////////////////////////////////////
2020-02-20 10:25:04 +08:00
module ifu_ic_mem
2019-06-04 22:57:48 +08:00
(
2020-06-26 10:59:36 +08:00
input logic free_clk,
2019-06-04 22:57:48 +08:00
input logic clk,
input logic rst_l,
input logic clk_override,
2020-02-20 10:25:04 +08:00
input logic dec_tlu_core_ecc_disable,
2019-06-04 22:57:48 +08:00
2020-06-26 10:59:36 +08:00
input logic [31:2] ic_rw_addr,
2019-06-04 22:57:48 +08:00
input logic [3:0] ic_wr_en , // Which way to write
input logic ic_rd_en , // Read enable
2020-02-20 10:25:04 +08:00
input logic [15:2] ic_debug_addr, // Read/Write addresss to the Icache.
2019-06-04 22:57:48 +08:00
input logic ic_debug_rd_en, // Icache debug rd
input logic ic_debug_wr_en, // Icache debug wr
input logic ic_debug_tag_array, // Debug tag array
input logic [3:0] ic_debug_way, // Debug way. Rd or Wr.
2020-02-20 10:25:04 +08:00
input logic [127:0] ic_premux_data, // Premux data to be muxed with each way of the Icache.
2019-06-04 22:57:48 +08:00
input logic ic_sel_premux_data, // Select the pre_muxed data
`ifdef RV_ICACHE_ECC
input logic [83:0] ic_wr_data, // Data to fill to the Icache. With ECC
output logic [167:0] ic_rd_data , // Data read from Icache. 2x64bits + parity bits. F2 stage. With ECC
2020-02-20 10:25:04 +08:00
output logic [24:0] ictag_debug_rd_data,// Debug icache tag.
input logic [41:0] ic_debug_wr_data, // Debug wr cache.
`else
2019-06-04 22:57:48 +08:00
input logic [67:0] ic_wr_data, // Data to fill to the Icache. With Parity
output logic [135:0] ic_rd_data , // Data read from Icache. 2x64bits + parity bits. F2 stage. With Parity
2020-02-20 10:25:04 +08:00
output logic [20:0] ictag_debug_rd_data,// Debug icache tag.
input logic [33:0] ic_debug_wr_data, // Debug wr cache.
2019-06-04 22:57:48 +08:00
`endif
2020-02-20 10:25:04 +08:00
input logic [3:0] ic_tag_valid, // Valid from the I$ tag valid outside (in flops).
2019-06-04 22:57:48 +08:00
output logic [3:0] ic_rd_hit, // ic_rd_hit[3:0]
output logic ic_tag_perr, // Tag Parity error
2020-02-20 10:25:04 +08:00
input logic scan_mode
2019-06-04 22:57:48 +08:00
) ;
2020-02-20 10:25:04 +08:00
`include "global.h"
2019-06-04 22:57:48 +08:00
IC_TAG #( .ICACHE_TAG_HIGH(ICACHE_TAG_HIGH) ,
2020-02-20 10:25:04 +08:00
.ICACHE_TAG_LOW(ICACHE_TAG_LOW) ,
.ICACHE_TAG_DEPTH(ICACHE_TAG_DEPTH)
2019-06-04 22:57:48 +08:00
) ic_tag_inst
(
.*,
2020-02-20 10:25:04 +08:00
.ic_wr_en (ic_wr_en[3:0]),
2019-06-04 22:57:48 +08:00
.ic_debug_addr(ic_debug_addr[ICACHE_TAG_HIGH-1:2]),
.ic_rw_addr (ic_rw_addr[31:3])
) ;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
IC_DATA #( .ICACHE_TAG_HIGH(ICACHE_TAG_HIGH) ,
2020-02-20 10:25:04 +08:00
.ICACHE_TAG_LOW(ICACHE_TAG_LOW) ,
.ICACHE_IC_DEPTH(ICACHE_IC_DEPTH)
2019-06-04 22:57:48 +08:00
) ic_data_inst
(
.*,
2020-02-20 10:25:04 +08:00
.ic_wr_en (ic_wr_en[3:0]),
2019-06-04 22:57:48 +08:00
.ic_debug_addr(ic_debug_addr[ICACHE_TAG_HIGH-1:2]),
2020-06-26 10:59:36 +08:00
.ic_rw_addr (ic_rw_addr[ICACHE_TAG_HIGH-1:2])
2019-06-04 22:57:48 +08:00
) ;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
endmodule
/////////////////////////////////////////////////
////// ICACHE DATA MODULE ////////////////////
/////////////////////////////////////////////////
module IC_DATA #(parameter ICACHE_TAG_HIGH = 16 ,
2020-02-20 10:25:04 +08:00
ICACHE_TAG_LOW=6 ,
ICACHE_IC_DEPTH=1024
)
2019-06-04 22:57:48 +08:00
(
2020-06-26 10:59:36 +08:00
input logic free_clk,
2019-06-04 22:57:48 +08:00
input logic clk,
input logic rst_l,
input logic clk_override,
2020-06-26 10:59:36 +08:00
input logic [ICACHE_TAG_HIGH-1:2] ic_rw_addr,
2019-06-04 22:57:48 +08:00
input logic [3:0] ic_wr_en,
input logic ic_rd_en, // Read enable
`ifdef RV_ICACHE_ECC
input logic [83:0] ic_wr_data, // Data to fill to the Icache. With ECC
output logic [167:0] ic_rd_data , // Data read from Icache. 2x64bits + parity bits. F2 stage. With ECC
2020-02-20 10:25:04 +08:00
input logic [41:0] ic_debug_wr_data, // Debug wr cache.
`else
2019-06-04 22:57:48 +08:00
input logic [67:0] ic_wr_data, // Data to fill to the Icache. With Parity
output logic [135:0] ic_rd_data , // Data read from Icache. 2x64bits + parity bits. F2 stage. With Parity
2020-02-20 10:25:04 +08:00
input logic [33:0] ic_debug_wr_data, // Debug wr cache.
2019-06-04 22:57:48 +08:00
`endif
2020-02-20 10:25:04 +08:00
input logic [ICACHE_TAG_HIGH-1:2] ic_debug_addr, // Read/Write addresss to the Icache.
2019-06-04 22:57:48 +08:00
input logic ic_debug_rd_en, // Icache debug rd
input logic ic_debug_wr_en, // Icache debug wr
input logic ic_debug_tag_array, // Debug tag array
input logic [3:0] ic_debug_way, // Debug way. Rd or Wr.
2020-02-20 10:25:04 +08:00
input logic [127:0] ic_premux_data, // Premux data to be muxed with each way of the Icache.
2019-06-04 22:57:48 +08:00
input logic ic_sel_premux_data, // Select the pre_muxed data
input logic [3:0] ic_rd_hit,
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
input logic scan_mode
) ;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
logic [5:4] ic_rw_addr_ff;
2020-06-26 10:59:36 +08:00
logic [3:0][3:0] ic_b_sb_wren, ic_bank_way_clken, ic_bank_way_clk; // way, bank
2019-06-04 22:57:48 +08:00
logic ic_debug_sel_sb0 ;
logic ic_debug_sel_sb1 ;
logic ic_debug_sel_sb2 ;
logic ic_debug_sel_sb3 ;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
`ifdef RV_ICACHE_ECC
logic [3:0] [167:0] bank_set_dout;
logic [3:0][167:0] wb_dout ; //
logic [3:0][41:0] ic_sb_wr_data;
`else
logic [3:0] [135:0] bank_set_dout;
2020-02-20 10:25:04 +08:00
logic [3:0] [135:0] wb_dout ; // bank , way , size
2019-06-04 22:57:48 +08:00
logic [3:0] [33:0] ic_sb_wr_data;
`endif
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
logic ic_b_rden;
logic [3:0] ic_debug_rd_way_en; // debug wr_way
logic [3:0] ic_debug_rd_way_en_ff; // debug wr_way
logic [3:0] ic_debug_wr_way_en; // debug wr_way
logic [ICACHE_TAG_HIGH-1:4] ic_rw_addr_q;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
assign ic_debug_rd_way_en[3:0] = {4{ic_debug_rd_en & ~ic_debug_tag_array}} & ic_debug_way[3:0] ;
assign ic_debug_wr_way_en[3:0] = {4{ic_debug_wr_en & ~ic_debug_tag_array}} & ic_debug_way[3:0] ;
2020-02-20 10:25:04 +08:00
assign ic_b_sb_wren[0][3:0] = (ic_wr_en[3:0] & {4{~ic_rw_addr[3]}} ) |
(ic_debug_wr_way_en[3:0] & {4{ic_debug_addr[3:2] == 2'b00}}) ;
assign ic_b_sb_wren[1][3:0] = (ic_wr_en[3:0] & {4{~ic_rw_addr[3]}} ) |
(ic_debug_wr_way_en[3:0] & {4{ic_debug_addr[3:2] == 2'b01}}) ;
assign ic_b_sb_wren[2][3:0] = (ic_wr_en[3:0] & {4{ic_rw_addr[3]}} ) |
(ic_debug_wr_way_en[3:0] & {4{ic_debug_addr[3:2] == 2'b10}}) ;
assign ic_b_sb_wren[3][3:0] = (ic_wr_en[3:0] & {4{ic_rw_addr[3]}} ) |
(ic_debug_wr_way_en[3:0] & {4{ic_debug_addr[3:2] == 2'b11}}) ;
2019-06-04 22:57:48 +08:00
2020-02-20 10:25:04 +08:00
assign ic_debug_sel_sb0 = (ic_debug_addr[3:2] == 2'b00 ) ;
assign ic_debug_sel_sb1 = (ic_debug_addr[3:2] == 2'b01 ) ;
assign ic_debug_sel_sb2 = (ic_debug_addr[3:2] == 2'b10 ) ;
assign ic_debug_sel_sb3 = (ic_debug_addr[3:2] == 2'b11 ) ;
2019-06-04 22:57:48 +08:00
`ifdef RV_ICACHE_ECC
2020-02-20 10:25:04 +08:00
assign ic_sb_wr_data[0][41:0] = (ic_debug_sel_sb0 & ic_debug_wr_en) ? {ic_debug_wr_data[41:0]} :
2019-06-04 22:57:48 +08:00
ic_wr_data[41:0] ;
2020-02-20 10:25:04 +08:00
assign ic_sb_wr_data[1][41:0] = (ic_debug_sel_sb1 & ic_debug_wr_en) ? {ic_debug_wr_data[41:0]} :
2019-06-04 22:57:48 +08:00
ic_wr_data[83:42] ;
2020-02-20 10:25:04 +08:00
assign ic_sb_wr_data[2][41:0] = (ic_debug_sel_sb2 & ic_debug_wr_en) ? {ic_debug_wr_data[41:0]} :
2019-06-04 22:57:48 +08:00
ic_wr_data[41:0] ;
2020-02-20 10:25:04 +08:00
assign ic_sb_wr_data[3][41:0] = (ic_debug_sel_sb3 & ic_debug_wr_en) ? {ic_debug_wr_data[41:0]} :
2019-06-04 22:57:48 +08:00
ic_wr_data[83:42] ;
2020-02-20 10:25:04 +08:00
`else
assign ic_sb_wr_data[0][33:0] = (ic_debug_sel_sb0 & ic_debug_wr_en) ? ic_debug_wr_data[33:0] :
2019-06-04 22:57:48 +08:00
ic_wr_data[33:0] ;
2020-02-20 10:25:04 +08:00
assign ic_sb_wr_data[1][33:0] = (ic_debug_sel_sb1 & ic_debug_wr_en) ? ic_debug_wr_data[33:0] :
2019-06-04 22:57:48 +08:00
ic_wr_data[67:34] ;
2020-02-20 10:25:04 +08:00
assign ic_sb_wr_data[2][33:0] = (ic_debug_sel_sb2 & ic_debug_wr_en) ? ic_debug_wr_data[33:0] :
2019-06-04 22:57:48 +08:00
ic_wr_data[33:0] ;
2020-02-20 10:25:04 +08:00
assign ic_sb_wr_data[3][33:0] = (ic_debug_sel_sb3 & ic_debug_wr_en) ? ic_debug_wr_data[33:0] :
2019-06-04 22:57:48 +08:00
ic_wr_data[67:34] ;
`endif
// bank read enables
2020-02-20 10:25:04 +08:00
assign ic_b_rden = (ic_rd_en | ic_debug_rd_en );
2020-06-26 10:59:36 +08:00
logic [3:0] ic_bank_read;
logic [3:0] ic_bank_read_ff;
assign ic_bank_read[0] = (ic_b_rden) & (~|ic_rw_addr[3:2] | ic_debug_rd_en);
assign ic_bank_read[1] = (ic_b_rden) & (~ic_rw_addr[3] | ic_debug_rd_en);
assign ic_bank_read[2] = (ic_b_rden) & (~&ic_rw_addr[3:2] | ic_debug_rd_en);
assign ic_bank_read[3] = (ic_b_rden) | ic_debug_rd_en;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
assign ic_rw_addr_q[ICACHE_TAG_HIGH-1:4] = (ic_debug_rd_en | ic_debug_wr_en) ?
2020-02-20 10:25:04 +08:00
ic_debug_addr[ICACHE_TAG_HIGH-1:4] :
2019-06-04 22:57:48 +08:00
ic_rw_addr[ICACHE_TAG_HIGH-1:4] ;
logic ic_debug_rd_en_ff;
2020-02-20 10:25:04 +08:00
rvdff #(2) adr_ff (.*,
2020-06-26 10:59:36 +08:00
.clk(free_clk),
2020-02-20 10:25:04 +08:00
.din ({ic_rw_addr_q[5:4]}),
2019-08-14 03:48:48 +08:00
.dout({ic_rw_addr_ff[5:4]}));
2019-06-04 22:57:48 +08:00
2020-06-26 10:59:36 +08:00
rvdff #(4) bank_adr_ff (.*,
.clk(free_clk),
.din (ic_bank_read[3:0]),
.dout(ic_bank_read_ff[3:0]));
2020-02-20 10:25:04 +08:00
rvdff #(5) debug_rd_wy_ff (.*,
2020-06-26 10:59:36 +08:00
.clk(free_clk),
2020-02-20 10:25:04 +08:00
.din ({ic_debug_rd_way_en[3:0], ic_debug_rd_en}),
2019-08-14 03:48:48 +08:00
.dout({ic_debug_rd_way_en_ff[3:0], ic_debug_rd_en_ff}));
2019-06-04 22:57:48 +08:00
localparam NUM_WAYS=4 ;
2020-02-20 10:25:04 +08:00
localparam NUM_SUBBANKS=4 ;
2019-06-04 22:57:48 +08:00
for (genvar i=0; i<NUM_WAYS; i++) begin: WAYS
2019-08-14 03:48:48 +08:00
for (genvar k=0; k<NUM_SUBBANKS; k++) begin: SUBBANKS // 16B subbank
2019-06-04 22:57:48 +08:00
2020-06-26 10:59:36 +08:00
// way3-bank3, way3-bank2, ... way0-bank0
assign ic_bank_way_clken[i][k] = ic_bank_read[k] | ic_b_sb_wren[k][i];
rvoclkhdr bank_way_bank_c1_cgc ( .en(ic_bank_way_clken[i][k] | clk_override), .l1clk(ic_bank_way_clk[i][k]), .* );
2019-06-04 22:57:48 +08:00
`ifdef RV_ICACHE_ECC
2020-02-20 10:25:04 +08:00
`RV_ICACHE_DATA_CELL ic_bank_sb_way_data (
2020-06-26 10:59:36 +08:00
.CLK(ic_bank_way_clk[i][k]),
2019-08-14 03:48:48 +08:00
.WE (ic_b_sb_wren[k][i]),
2019-06-04 22:57:48 +08:00
.D (ic_sb_wr_data[k][41:0]),
2019-08-14 03:48:48 +08:00
.ADR(ic_rw_addr_q[ICACHE_TAG_HIGH-1:4]),
.Q (wb_dout[i][(k+1)*42-1:k*42])
2019-06-04 22:57:48 +08:00
);
`else
2020-02-20 10:25:04 +08:00
`RV_ICACHE_DATA_CELL ic_bank_sb_way_data (
2020-06-26 10:59:36 +08:00
.CLK(ic_bank_way_clk[i][k]),
2019-08-14 03:48:48 +08:00
.WE (ic_b_sb_wren[k][i]),
2019-06-04 22:57:48 +08:00
.D (ic_sb_wr_data[k][33:0]),
2019-08-14 03:48:48 +08:00
.ADR(ic_rw_addr_q[ICACHE_TAG_HIGH-1:4]),
.Q (wb_dout[i][(k+1)*34-1:k*34])
2019-06-04 22:57:48 +08:00
);
`endif
end // block: SUBBANKS
2020-02-20 10:25:04 +08:00
end
2019-06-04 22:57:48 +08:00
logic [3:0] ic_rd_hit_q;
assign ic_rd_hit_q[3:0] = ic_debug_rd_en_ff ? ic_debug_rd_way_en_ff[3:0] : ic_rd_hit[3:0] ;
// set mux
`ifdef RV_ICACHE_ECC
2020-02-20 10:25:04 +08:00
logic [167:0] ic_premux_data_ext;
2019-06-04 22:57:48 +08:00
logic [3:0] [167:0] wb_dout_way;
logic [3:0] [167:0] wb_dout_way_with_premux;
assign ic_premux_data_ext[167:0] = {10'b0,ic_premux_data[127:96],10'b0,ic_premux_data[95:64] ,10'b0,ic_premux_data[63:32],10'b0,ic_premux_data[31:0]};
2020-06-26 10:59:36 +08:00
assign wb_dout_way[0][167:0] = wb_dout[0][167:0] & { {42{ic_bank_read_ff[3]}} , {42{ic_bank_read_ff[2]}} , {42{ic_bank_read_ff[1]}} , {42{ic_bank_read_ff[0]}} };
assign wb_dout_way[1][167:0] = wb_dout[1][167:0] & { {42{ic_bank_read_ff[3]}} , {42{ic_bank_read_ff[2]}} , {42{ic_bank_read_ff[1]}} , {42{ic_bank_read_ff[0]}} };
assign wb_dout_way[2][167:0] = wb_dout[2][167:0] & { {42{ic_bank_read_ff[3]}} , {42{ic_bank_read_ff[2]}} , {42{ic_bank_read_ff[1]}} , {42{ic_bank_read_ff[0]}} };
assign wb_dout_way[3][167:0] = wb_dout[3][167:0] & { {42{ic_bank_read_ff[3]}} , {42{ic_bank_read_ff[2]}} , {42{ic_bank_read_ff[1]}} , {42{ic_bank_read_ff[0]}} };
2019-06-04 22:57:48 +08:00
assign wb_dout_way_with_premux[0][167:0] = ic_sel_premux_data ? ic_premux_data_ext[167:0] : wb_dout_way[0][167:0] ;
assign wb_dout_way_with_premux[1][167:0] = ic_sel_premux_data ? ic_premux_data_ext[167:0] : wb_dout_way[1][167:0] ;
assign wb_dout_way_with_premux[2][167:0] = ic_sel_premux_data ? ic_premux_data_ext[167:0] : wb_dout_way[2][167:0] ;
assign wb_dout_way_with_premux[3][167:0] = ic_sel_premux_data ? ic_premux_data_ext[167:0] : wb_dout_way[3][167:0] ;
assign ic_rd_data[167:0] = ({168{ic_rd_hit_q[0] | ic_sel_premux_data}} & wb_dout_way_with_premux[0][167:0]) |
({168{ic_rd_hit_q[1] | ic_sel_premux_data}} & wb_dout_way_with_premux[1][167:0]) |
({168{ic_rd_hit_q[2] | ic_sel_premux_data}} & wb_dout_way_with_premux[2][167:0]) |
({168{ic_rd_hit_q[3] | ic_sel_premux_data}} & wb_dout_way_with_premux[3][167:0]) ;
`else
2020-02-20 10:25:04 +08:00
logic [135:0] ic_premux_data_ext;
2019-06-04 22:57:48 +08:00
logic [3:0] [135:0] wb_dout_way;
logic [3:0] [135:0] wb_dout_way_with_premux;
assign ic_premux_data_ext[135:0] = {2'b0,ic_premux_data[127:96],2'b0,ic_premux_data[95:64] ,2'b0,ic_premux_data[63:32],2'b0,ic_premux_data[31:0]};
2020-06-26 10:59:36 +08:00
assign wb_dout_way[0][135:0] = wb_dout[0][135:0] & { {34{ic_bank_read_ff[3]}} , {34{ic_bank_read_ff[2]}} , {34{ic_bank_read_ff[1]}} , {34{ic_bank_read_ff[0]}} };
assign wb_dout_way[1][135:0] = wb_dout[1][135:0] & { {34{ic_bank_read_ff[3]}} , {34{ic_bank_read_ff[2]}} , {34{ic_bank_read_ff[1]}} , {34{ic_bank_read_ff[0]}} };
assign wb_dout_way[2][135:0] = wb_dout[2][135:0] & { {34{ic_bank_read_ff[3]}} , {34{ic_bank_read_ff[2]}} , {34{ic_bank_read_ff[1]}} , {34{ic_bank_read_ff[0]}} };
assign wb_dout_way[3][135:0] = wb_dout[3][135:0] & { {34{ic_bank_read_ff[3]}} , {34{ic_bank_read_ff[2]}} , {34{ic_bank_read_ff[1]}} , {34{ic_bank_read_ff[0]}} };
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
assign wb_dout_way_with_premux[0][135:0] = ic_sel_premux_data ? ic_premux_data_ext[135:0] : wb_dout_way[0][135:0] ;
assign wb_dout_way_with_premux[1][135:0] = ic_sel_premux_data ? ic_premux_data_ext[135:0] : wb_dout_way[1][135:0] ;
assign wb_dout_way_with_premux[2][135:0] = ic_sel_premux_data ? ic_premux_data_ext[135:0] : wb_dout_way[2][135:0] ;
assign wb_dout_way_with_premux[3][135:0] = ic_sel_premux_data ? ic_premux_data_ext[135:0] : wb_dout_way[3][135:0] ;
assign ic_rd_data[135:0] = ({136{ic_rd_hit_q[0] | ic_sel_premux_data}} & wb_dout_way_with_premux[0][135:0]) |
({136{ic_rd_hit_q[1] | ic_sel_premux_data}} & wb_dout_way_with_premux[1][135:0]) |
({136{ic_rd_hit_q[2] | ic_sel_premux_data}} & wb_dout_way_with_premux[2][135:0]) |
({136{ic_rd_hit_q[3] | ic_sel_premux_data}} & wb_dout_way_with_premux[3][135:0]) ;
`endif
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
endmodule
/////////////////////////////////////////////////
////// ICACHE TAG MODULE ////////////////////
/////////////////////////////////////////////////
module IC_TAG #(parameter ICACHE_TAG_HIGH = 16 ,
2020-02-20 10:25:04 +08:00
ICACHE_TAG_LOW=6 ,
ICACHE_TAG_DEPTH=1024
)
2019-06-04 22:57:48 +08:00
(
2020-06-26 10:59:36 +08:00
input logic free_clk,
2019-06-04 22:57:48 +08:00
input logic clk,
input logic rst_l,
input logic clk_override,
2020-02-20 10:25:04 +08:00
input logic dec_tlu_core_ecc_disable,
2019-06-04 22:57:48 +08:00
input logic [31:3] ic_rw_addr,
input logic [3:0] ic_wr_en, // way
input logic [3:0] ic_tag_valid,
input logic ic_rd_en,
2020-02-20 10:25:04 +08:00
input logic [ICACHE_TAG_HIGH-1:2] ic_debug_addr, // Read/Write addresss to the Icache.
2019-06-04 22:57:48 +08:00
input logic ic_debug_rd_en, // Icache debug rd
input logic ic_debug_wr_en, // Icache debug wr
input logic ic_debug_tag_array, // Debug tag array
input logic [3:0] ic_debug_way, // Debug way. Rd or Wr.
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
`ifdef RV_ICACHE_ECC
output logic [24:0] ictag_debug_rd_data,
2020-02-20 10:25:04 +08:00
input logic [41:0] ic_debug_wr_data, // Debug wr cache.
2019-06-04 22:57:48 +08:00
`else
output logic [20:0] ictag_debug_rd_data,
2020-02-20 10:25:04 +08:00
input logic [33:0] ic_debug_wr_data, // Debug wr cache.
2019-06-04 22:57:48 +08:00
`endif
output logic [3:0] ic_rd_hit,
2020-02-20 10:25:04 +08:00
output logic ic_tag_perr,
input logic scan_mode
2019-06-04 22:57:48 +08:00
) ;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
`ifdef RV_ICACHE_ECC
logic [3:0] [24:0] ic_tag_data_raw;
logic [3:0] [37:ICACHE_TAG_HIGH] w_tout;
logic [24:0] ic_tag_wr_data ;
logic [3:0] [31:0] ic_tag_corrected_data_unc;
logic [3:0] [06:0] ic_tag_corrected_ecc_unc;
logic [3:0] ic_tag_single_ecc_error;
logic [3:0] ic_tag_double_ecc_error;
`else
logic [3:0] [20:0] ic_tag_data_raw;
logic [3:0] [32:ICACHE_TAG_HIGH] w_tout;
logic [20:0] ic_tag_wr_data ;
`endif
logic [3:0] ic_tag_way_perr ;
logic [3:0] ic_debug_rd_way_en ;
logic [3:0] ic_debug_rd_way_en_ff ;
logic [ICACHE_TAG_HIGH-1:6] ic_rw_addr_q;
logic [31:4] ic_rw_addr_ff;
logic [3:0] ic_tag_wren ; // way
logic [3:0] ic_tag_wren_q ; // way
logic [3:0] ic_tag_clk ;
logic [3:0] ic_tag_clken ;
logic [3:0] ic_debug_wr_way_en; // debug wr_way
2020-02-20 10:25:04 +08:00
assign ic_tag_wren [3:0] = ic_wr_en[3:0] & {4{ic_rw_addr[5:3] == 3'b111}} ;
2019-06-04 22:57:48 +08:00
assign ic_tag_clken[3:0] = {4{ic_rd_en | clk_override}} | ic_wr_en[3:0] | ic_debug_wr_way_en[3:0] | ic_debug_rd_way_en[3:0];
2020-02-20 10:25:04 +08:00
rvdff #(32-ICACHE_TAG_HIGH) adr_ff (.*,
2020-06-26 10:59:36 +08:00
.clk(free_clk),
2020-02-20 10:25:04 +08:00
.din ({ic_rw_addr[31:ICACHE_TAG_HIGH]}),
2019-08-14 03:48:48 +08:00
.dout({ic_rw_addr_ff[31:ICACHE_TAG_HIGH]}));
2019-06-04 22:57:48 +08:00
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
localparam TOP_BITS = 21+ICACHE_TAG_HIGH-33 ;
localparam NUM_WAYS=4 ;
2020-02-20 10:25:04 +08:00
// tags
2019-06-04 22:57:48 +08:00
assign ic_debug_rd_way_en[3:0] = {4{ic_debug_rd_en & ic_debug_tag_array}} & ic_debug_way[3:0] ;
assign ic_debug_wr_way_en[3:0] = {4{ic_debug_wr_en & ic_debug_tag_array}} & ic_debug_way[3:0] ;
2020-02-20 10:25:04 +08:00
assign ic_tag_wren_q[3:0] = ic_tag_wren[3:0] |
2019-06-04 22:57:48 +08:00
ic_debug_wr_way_en[3:0] ;
if (ICACHE_TAG_HIGH == 12) begin: SMALLEST
`ifdef RV_ICACHE_ECC
logic [6:0] ic_tag_ecc;
rvecc_encode tag_ecc_encode (
2020-02-20 10:25:04 +08:00
.din ({{ICACHE_TAG_HIGH{1'b0}}, ic_rw_addr[31:ICACHE_TAG_HIGH]}),
.ecc_out({ ic_tag_ecc[6:0]}));
2019-06-04 22:57:48 +08:00
2020-02-20 10:25:04 +08:00
assign ic_tag_wr_data[24:0] = (ic_debug_wr_en & ic_debug_tag_array) ?
{ic_debug_wr_data[36:32], ic_debug_wr_data[31:12]} :
2019-06-04 22:57:48 +08:00
{ic_tag_ecc[4:0], ic_rw_addr[31:ICACHE_TAG_HIGH]} ;
`else
2020-02-20 10:25:04 +08:00
logic ic_tag_parity ;
rveven_paritygen #(32-ICACHE_TAG_HIGH) pargen (.data_in (ic_rw_addr[31:ICACHE_TAG_HIGH]),
.parity_out(ic_tag_parity));
2019-06-04 22:57:48 +08:00
2020-02-20 10:25:04 +08:00
assign ic_tag_wr_data[20:0] = (ic_debug_wr_en & ic_debug_tag_array) ?
{ic_debug_wr_data[32], ic_debug_wr_data[31:12]} :
2019-06-04 22:57:48 +08:00
{ic_tag_parity, ic_rw_addr[31:ICACHE_TAG_HIGH]} ;
`endif
end else begin: OTHERS
`ifdef RV_ICACHE_ECC
logic [6:0] ic_tag_ecc;
rvecc_encode tag_ecc_encode (
2020-02-20 10:25:04 +08:00
.din ({{ICACHE_TAG_HIGH{1'b0}}, ic_rw_addr[31:ICACHE_TAG_HIGH]}),
.ecc_out({ ic_tag_ecc[6:0]}));
2019-06-04 22:57:48 +08:00
2020-02-20 10:25:04 +08:00
assign ic_tag_wr_data[24:0] = (ic_debug_wr_en & ic_debug_tag_array) ?
{ic_debug_wr_data[36:32], ic_debug_wr_data[31:12]} :
2019-06-04 22:57:48 +08:00
{ic_tag_ecc[4:0], {TOP_BITS{1'b0}},ic_rw_addr[31:ICACHE_TAG_HIGH]} ;
`else
2020-02-20 10:25:04 +08:00
logic ic_tag_parity ;
rveven_paritygen #(32-ICACHE_TAG_HIGH) pargen (.data_in (ic_rw_addr[31:ICACHE_TAG_HIGH]),
.parity_out(ic_tag_parity));
assign ic_tag_wr_data[20:0] = (ic_debug_wr_en & ic_debug_tag_array) ?
{ic_debug_wr_data[32], ic_debug_wr_data[31:12]} :
2019-06-04 22:57:48 +08:00
{ic_tag_parity, {TOP_BITS{1'b0}},ic_rw_addr[31:ICACHE_TAG_HIGH]} ;
`endif
end
assign ic_rw_addr_q[ICACHE_TAG_HIGH-1:6] = (ic_debug_rd_en | ic_debug_wr_en) ?
2020-02-20 10:25:04 +08:00
ic_debug_addr[ICACHE_TAG_HIGH-1:6] :
2019-06-04 22:57:48 +08:00
ic_rw_addr[ICACHE_TAG_HIGH-1:6] ;
2020-02-20 10:25:04 +08:00
rvdff #(4) tag_rd_wy_ff (.*,
2020-06-26 10:59:36 +08:00
.clk(free_clk),
2020-02-20 10:25:04 +08:00
.din ({ic_debug_rd_way_en[3:0]}),
2019-08-14 03:48:48 +08:00
.dout({ic_debug_rd_way_en_ff[3:0]}));
2019-06-04 22:57:48 +08:00
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
for (genvar i=0; i<NUM_WAYS; i++) begin: WAYS
2020-06-26 10:59:36 +08:00
rvoclkhdr ic_tag_c1_cgc ( .en(ic_tag_clken[i]), .l1clk(ic_tag_clk[i]), .* );
2019-06-04 22:57:48 +08:00
if (ICACHE_TAG_DEPTH == 64 ) begin : ICACHE_SZ_16
`ifdef RV_ICACHE_ECC
ram_64x25 ic_way_tag (
.CLK(ic_tag_clk[i]),
2019-08-14 03:48:48 +08:00
.WE (ic_tag_wren_q[i]),
2019-06-04 22:57:48 +08:00
.D (ic_tag_wr_data[24:0]),
2019-08-14 03:48:48 +08:00
.ADR(ic_rw_addr_q[ICACHE_TAG_HIGH-1:ICACHE_TAG_LOW]),
.Q (ic_tag_data_raw[i][24:0])
);
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
assign w_tout[i][31:ICACHE_TAG_HIGH] = ic_tag_data_raw[i][31-ICACHE_TAG_HIGH:0] ;
assign w_tout[i][36:32] = ic_tag_data_raw[i][24:20] ;
rvecc_decode ecc_decode (
2020-02-20 10:25:04 +08:00
.en(~dec_tlu_core_ecc_disable),
2019-06-04 22:57:48 +08:00
.sed_ded ( 1'b1 ), // 1 : means only detection
.din({12'b0,ic_tag_data_raw[i][19:0]}),
.ecc_in({2'b0, ic_tag_data_raw[i][24:20]}),
.dout(ic_tag_corrected_data_unc[i][31:0]),
.ecc_out(ic_tag_corrected_ecc_unc[i][6:0]),
.single_ecc_error(ic_tag_single_ecc_error[i]),
.double_ecc_error(ic_tag_double_ecc_error[i]));
assign ic_tag_way_perr[i]= ic_tag_single_ecc_error[i] | ic_tag_double_ecc_error[i] ;
`else
ram_64x21 ic_way_tag (
.CLK(ic_tag_clk[i]),
2019-08-14 03:48:48 +08:00
.WE (ic_tag_wren_q[i]),
2019-06-04 22:57:48 +08:00
.D (ic_tag_wr_data[20:0]),
2019-08-14 03:48:48 +08:00
.ADR(ic_rw_addr_q[ICACHE_TAG_HIGH-1:ICACHE_TAG_LOW]),
.Q (ic_tag_data_raw[i][20:0])
);
2019-06-04 22:57:48 +08:00
assign w_tout[i][31:ICACHE_TAG_HIGH] = ic_tag_data_raw[i][31-ICACHE_TAG_HIGH:0] ;
assign w_tout[i][32] = ic_tag_data_raw[i][20] ;
2020-02-20 10:25:04 +08:00
rveven_paritycheck #(32-ICACHE_TAG_HIGH) parcheck(.data_in (w_tout[i][31:ICACHE_TAG_HIGH]),
2019-06-04 22:57:48 +08:00
.parity_in (w_tout[i][32]),
2020-02-20 10:25:04 +08:00
.parity_err(ic_tag_way_perr[i]));
2019-06-04 22:57:48 +08:00
`endif
end // block: ICACHE_SZ_16
2020-02-20 10:25:04 +08:00
else begin : tag_not_64
2019-06-04 22:57:48 +08:00
`ifdef RV_ICACHE_ECC
`RV_ICACHE_TAG_CELL ic_way_tag (
.CLK(ic_tag_clk[i]),
.WE (ic_tag_wren_q[i]),
.D (ic_tag_wr_data[24:0]),
2019-08-14 03:48:48 +08:00
.ADR(ic_rw_addr_q[ICACHE_TAG_HIGH-1:ICACHE_TAG_LOW]),
.Q (ic_tag_data_raw[i][24:0])
2019-06-04 22:57:48 +08:00
);
assign w_tout[i][31:ICACHE_TAG_HIGH] = ic_tag_data_raw[i][31-ICACHE_TAG_HIGH:0] ;
assign w_tout[i][36:32] = ic_tag_data_raw[i][24:20] ;
rvecc_decode ecc_decode (
.en(~dec_tlu_core_ecc_disable),
2020-02-20 10:25:04 +08:00
.sed_ded ( 1'b1 ), // 1 : if only need detection
2019-06-04 22:57:48 +08:00
.din({12'b0,ic_tag_data_raw[i][19:0]}),
.ecc_in({2'b0, ic_tag_data_raw[i][24:20]}),
.dout(ic_tag_corrected_data_unc[i][31:0]),
.ecc_out(ic_tag_corrected_ecc_unc[i][6:0]),
.single_ecc_error(ic_tag_single_ecc_error[i]),
.double_ecc_error(ic_tag_double_ecc_error[i]));
assign ic_tag_way_perr[i]= ic_tag_single_ecc_error[i] | ic_tag_double_ecc_error[i] ;
`else
`RV_ICACHE_TAG_CELL ic_way_tag (
.CLK(ic_tag_clk[i]),
.WE (ic_tag_wren_q[i]),
.D (ic_tag_wr_data[20:0]),
2019-08-14 03:48:48 +08:00
.ADR(ic_rw_addr_q[ICACHE_TAG_HIGH-1:ICACHE_TAG_LOW]),
.Q ({ic_tag_data_raw[i][20:0]})
2019-06-04 22:57:48 +08:00
);
assign w_tout[i][31:ICACHE_TAG_HIGH] = ic_tag_data_raw[i][31-ICACHE_TAG_HIGH:0] ;
assign w_tout[i][32] = ic_tag_data_raw[i][20] ;
2020-02-20 10:25:04 +08:00
rveven_paritycheck #(32-ICACHE_TAG_HIGH) parcheck(.data_in (w_tout[i][31:ICACHE_TAG_HIGH]),
2019-06-04 22:57:48 +08:00
.parity_in (w_tout[i][32]),
2020-02-20 10:25:04 +08:00
.parity_err(ic_tag_way_perr[i]));
2019-06-04 22:57:48 +08:00
`endif
end // block: tag_not_64
end // block: WAYS
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
`ifdef RV_ICACHE_ECC
assign ictag_debug_rd_data[24:0] = ({25{ic_debug_rd_way_en_ff[0]}} & ic_tag_data_raw[0] ) |
({25{ic_debug_rd_way_en_ff[1]}} & ic_tag_data_raw[1] ) |
({25{ic_debug_rd_way_en_ff[2]}} & ic_tag_data_raw[2] ) |
({25{ic_debug_rd_way_en_ff[3]}} & ic_tag_data_raw[3] ) ;
2020-02-20 10:25:04 +08:00
`else
2019-06-04 22:57:48 +08:00
assign ictag_debug_rd_data[20:0] = ({21{ic_debug_rd_way_en_ff[0]}} & ic_tag_data_raw[0] ) |
({21{ic_debug_rd_way_en_ff[1]}} & ic_tag_data_raw[1] ) |
({21{ic_debug_rd_way_en_ff[2]}} & ic_tag_data_raw[2] ) |
({21{ic_debug_rd_way_en_ff[3]}} & ic_tag_data_raw[3] ) ;
2020-02-20 10:25:04 +08:00
2019-06-04 22:57:48 +08:00
`endif
assign ic_rd_hit[0] = (w_tout[0][31:ICACHE_TAG_HIGH] == ic_rw_addr_ff[31:ICACHE_TAG_HIGH]) & ic_tag_valid[0];
assign ic_rd_hit[1] = (w_tout[1][31:ICACHE_TAG_HIGH] == ic_rw_addr_ff[31:ICACHE_TAG_HIGH]) & ic_tag_valid[1];
assign ic_rd_hit[2] = (w_tout[2][31:ICACHE_TAG_HIGH] == ic_rw_addr_ff[31:ICACHE_TAG_HIGH]) & ic_tag_valid[2];
assign ic_rd_hit[3] = (w_tout[3][31:ICACHE_TAG_HIGH] == ic_rw_addr_ff[31:ICACHE_TAG_HIGH]) & ic_tag_valid[3];
assign ic_tag_perr = | (ic_tag_way_perr[3:0] & ic_tag_valid[3:0] ) ;
endmodule