Removed duplicate declaration of finished for Verilator.

This commit is contained in:
Joseph Rahmeh 2019-06-20 09:50:50 -07:00
parent 8f92cd5033
commit 412c128fb0
1 changed files with 1 additions and 1 deletions

View File

@ -22,6 +22,7 @@ module tb_top ( input logic core_clk, input logic reset_l, output finished);
`ifndef VERILATOR `ifndef VERILATOR
logic reset_l; logic reset_l;
logic core_clk; logic core_clk;
logic finished;
`endif `endif
logic nmi_int; logic nmi_int;
@ -100,7 +101,6 @@ module tb_top ( input logic core_clk, input logic reset_l, output finished);
logic [31:0] cycleCnt ; logic [31:0] cycleCnt ;
logic mailbox_data_val; logic mailbox_data_val;
logic finished;
wire dma_hready_out; wire dma_hready_out;