picorv32/scripts/vivado/synth_area.tcl

9 lines
172 B
Tcl
Raw Normal View History

2015-06-09 18:45:45 +08:00
read_verilog ../../picorv32.v
read_xdc synth_area.xdc
2015-07-02 04:18:20 +08:00
synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design -resynth_seq_area
2015-06-09 18:45:45 +08:00
report_utilization
2015-06-27 05:41:13 +08:00
# report_timing