2015-06-06 20:01:37 +08:00
|
|
|
`timescale 1 ns / 1 ps
|
|
|
|
|
|
|
|
module testbench;
|
|
|
|
reg clk = 1;
|
|
|
|
reg resetn = 0;
|
|
|
|
wire trap;
|
|
|
|
|
|
|
|
always #5 clk = ~clk;
|
|
|
|
|
|
|
|
initial begin
|
|
|
|
repeat (100) @(posedge clk);
|
|
|
|
resetn <= 1;
|
|
|
|
end
|
|
|
|
|
|
|
|
wire mem_valid;
|
|
|
|
wire mem_instr;
|
2015-06-07 01:35:07 +08:00
|
|
|
wire mem_ready;
|
2015-06-06 20:01:37 +08:00
|
|
|
wire [31:0] mem_addr;
|
|
|
|
wire [31:0] mem_wdata;
|
|
|
|
wire [3:0] mem_wstrb;
|
2015-06-07 02:40:58 +08:00
|
|
|
reg [31:0] mem_rdata;
|
2015-06-07 18:11:20 +08:00
|
|
|
|
2015-06-07 02:40:58 +08:00
|
|
|
wire mem_la_read;
|
2015-06-07 18:11:20 +08:00
|
|
|
wire mem_la_write;
|
2015-06-07 02:40:58 +08:00
|
|
|
wire [31:0] mem_la_addr;
|
2015-06-07 18:11:20 +08:00
|
|
|
wire [31:0] mem_la_wdata;
|
|
|
|
wire [3:0] mem_la_wstrb;
|
2015-06-06 20:01:37 +08:00
|
|
|
|
2016-04-10 22:55:10 +08:00
|
|
|
picorv32 #(
|
2016-04-12 23:30:31 +08:00
|
|
|
.BARREL_SHIFTER(1),
|
2016-08-30 12:08:21 +08:00
|
|
|
.ENABLE_FAST_MUL(1),
|
2016-06-07 23:09:26 +08:00
|
|
|
.ENABLE_DIV(1),
|
|
|
|
.PROGADDR_RESET('h10000),
|
|
|
|
.STACKADDR('h10000)
|
2016-04-10 22:55:10 +08:00
|
|
|
) uut (
|
2015-06-07 18:11:20 +08:00
|
|
|
.clk (clk ),
|
|
|
|
.resetn (resetn ),
|
|
|
|
.trap (trap ),
|
|
|
|
.mem_valid (mem_valid ),
|
|
|
|
.mem_instr (mem_instr ),
|
|
|
|
.mem_ready (mem_ready ),
|
|
|
|
.mem_addr (mem_addr ),
|
|
|
|
.mem_wdata (mem_wdata ),
|
|
|
|
.mem_wstrb (mem_wstrb ),
|
|
|
|
.mem_rdata (mem_rdata ),
|
|
|
|
.mem_la_read (mem_la_read ),
|
|
|
|
.mem_la_write(mem_la_write),
|
|
|
|
.mem_la_addr (mem_la_addr ),
|
|
|
|
.mem_la_wdata(mem_la_wdata),
|
|
|
|
.mem_la_wstrb(mem_la_wstrb)
|
2015-06-06 20:01:37 +08:00
|
|
|
);
|
|
|
|
|
2016-06-07 23:09:26 +08:00
|
|
|
reg [7:0] memory [0:256*1024-1];
|
2015-06-06 20:01:37 +08:00
|
|
|
initial $readmemh("dhry.hex", memory);
|
|
|
|
|
2015-06-07 01:35:07 +08:00
|
|
|
assign mem_ready = 1;
|
|
|
|
|
2015-06-06 20:01:37 +08:00
|
|
|
always @(posedge clk) begin
|
2016-06-07 23:09:26 +08:00
|
|
|
mem_rdata[ 7: 0] <= mem_la_read ? memory[mem_la_addr + 0] : 'bx;
|
|
|
|
mem_rdata[15: 8] <= mem_la_read ? memory[mem_la_addr + 1] : 'bx;
|
|
|
|
mem_rdata[23:16] <= mem_la_read ? memory[mem_la_addr + 2] : 'bx;
|
|
|
|
mem_rdata[31:24] <= mem_la_read ? memory[mem_la_addr + 3] : 'bx;
|
2015-06-07 18:11:20 +08:00
|
|
|
if (mem_la_write) begin
|
|
|
|
case (mem_la_addr)
|
2015-06-07 01:35:07 +08:00
|
|
|
32'h1000_0000: begin
|
2015-06-07 14:28:10 +08:00
|
|
|
`ifndef TIMING
|
2015-06-07 18:11:20 +08:00
|
|
|
$write("%c", mem_la_wdata);
|
2015-06-07 01:35:07 +08:00
|
|
|
$fflush();
|
2015-06-07 03:27:07 +08:00
|
|
|
`endif
|
2015-06-07 01:35:07 +08:00
|
|
|
end
|
|
|
|
default: begin
|
2016-06-07 23:09:26 +08:00
|
|
|
if (mem_la_wstrb[0]) memory[mem_la_addr + 0] <= mem_la_wdata[ 7: 0];
|
|
|
|
if (mem_la_wstrb[1]) memory[mem_la_addr + 1] <= mem_la_wdata[15: 8];
|
|
|
|
if (mem_la_wstrb[2]) memory[mem_la_addr + 2] <= mem_la_wdata[23:16];
|
|
|
|
if (mem_la_wstrb[3]) memory[mem_la_addr + 3] <= mem_la_wdata[31:24];
|
2015-06-07 01:35:07 +08:00
|
|
|
end
|
|
|
|
endcase
|
2015-06-06 20:01:37 +08:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
initial begin
|
|
|
|
$dumpfile("testbench.vcd");
|
|
|
|
$dumpvars(0, testbench);
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (resetn && trap) begin
|
|
|
|
repeat (10) @(posedge clk);
|
|
|
|
$display("TRAP");
|
|
|
|
$finish;
|
|
|
|
end
|
|
|
|
end
|
2015-06-07 03:27:07 +08:00
|
|
|
|
2015-06-07 14:28:10 +08:00
|
|
|
`ifdef TIMING
|
2015-06-07 03:27:07 +08:00
|
|
|
initial begin
|
|
|
|
repeat (100000) @(posedge clk);
|
|
|
|
$finish;
|
|
|
|
end
|
2016-04-14 01:52:52 +08:00
|
|
|
always @(posedge clk) begin
|
2016-04-14 06:48:58 +08:00
|
|
|
if (uut.dbg_next)
|
|
|
|
$display("## %-s %d", uut.dbg_ascii_instr ? uut.dbg_ascii_instr : "pcpi", uut.count_cycle);
|
2015-06-07 03:27:07 +08:00
|
|
|
end
|
|
|
|
`endif
|
2015-06-06 20:01:37 +08:00
|
|
|
endmodule
|