Default donot use compress isa.

This commit is contained in:
colin.liang 2023-01-10 19:56:05 +08:00
parent d4ce161c1c
commit d1b0213ff0
2 changed files with 7 additions and 5 deletions

View File

@ -66,16 +66,16 @@ firmware/firmware.hex: firmware/firmware.elf
# chmod -x $@ # chmod -x $@
firmware/firmware.elf: $(FIRMWARE_OBJS) $(TEST_OBJS) firmware/sections.lds firmware/firmware.elf: $(FIRMWARE_OBJS) $(TEST_OBJS) firmware/sections.lds
$(TOOLCHAIN_PREFIX)gcc -Os -mabi=ilp32 -march=rv32im$(subst C,c,$(COMPRESSED_ISA)) -ffreestanding -nostdlib -o $@ \ $(TOOLCHAIN_PREFIX)gcc -Os -mabi=ilp32 -march=rv32im -ffreestanding -nostdlib -o $@ \
-Wl,--build-id=none,-Bstatic,-T,firmware/sections.lds,-Map,firmware/firmware.map,--strip-debug \ -Wl,--build-id=none,-Bstatic,-T,firmware/sections.lds,-Map,firmware/firmware.map,--strip-debug \
$(FIRMWARE_OBJS) $(TEST_OBJS) -lgcc $(FIRMWARE_OBJS) $(TEST_OBJS) -lgcc
chmod -x $@ chmod -x $@
firmware/start.o: firmware/start.S firmware/start.o: firmware/start.S
$(TOOLCHAIN_PREFIX)gcc -c -mabi=ilp32 -march=rv32im$(subst C,c,$(COMPRESSED_ISA)) -o $@ $< $(TOOLCHAIN_PREFIX)gcc -c -mabi=ilp32 -march=rv32im -o $@ $<
firmware/%.o: firmware/%.c firmware/%.o: firmware/%.c
$(TOOLCHAIN_PREFIX)gcc -c -mabi=ilp32 -march=rv32i$(subst C,c,$(COMPRESSED_ISA)) -Os --std=c99 $(GCC_WARNS) -ffreestanding -nostdlib -o $@ $< $(TOOLCHAIN_PREFIX)gcc -c -mabi=ilp32 -march=rv32i -Os --std=c99 $(GCC_WARNS) -ffreestanding -nostdlib -o $@ $<
tests/%.o: tests/%.S tests/riscv_test.h tests/test_macros.h tests/%.o: tests/%.S tests/riscv_test.h tests/test_macros.h
$(TOOLCHAIN_PREFIX)gcc -c -mabi=ilp32 -march=rv32im -o $@ -DTEST_FUNC_NAME=$(notdir $(basename $<)) \ $(TOOLCHAIN_PREFIX)gcc -c -mabi=ilp32 -march=rv32im -o $@ -DTEST_FUNC_NAME=$(notdir $(basename $<)) \

View File

@ -99,7 +99,9 @@ module picorv32_wrapper #(
reg [1023:0] firmware_file; reg [1023:0] firmware_file;
initial begin initial begin
if (!$value$plusargs("firmware=%s", firmware_file)) firmware_file = "firmware/firmware.hex"; if (!$value$plusargs("firmware=%s", firmware_file))
firmware_file = "firmware/firmware.hex";
// firmware_file = "dhrystone/dhry.hex";
$readmemh(firmware_file, uut.memory); $readmemh(firmware_file, uut.memory);
end end
@ -197,7 +199,7 @@ module picorv32_wb #(
.BARREL_SHIFTER(0), .BARREL_SHIFTER(0),
.TWO_CYCLE_COMPARE(0), .TWO_CYCLE_COMPARE(0),
.TWO_CYCLE_ALU(0), .TWO_CYCLE_ALU(0),
.COMPRESSED_ISA(1), .COMPRESSED_ISA(0),
.CATCH_MISALIGN(0), .CATCH_MISALIGN(0),
.CATCH_ILLINSN(1), .CATCH_ILLINSN(1),
.ENABLE_PCPI(0), .ENABLE_PCPI(0),