picorv32/dhrystone
Clifford Wolf 491cd5e15d Using libc assembler code in dhrystone stdlib.c 2015-06-07 07:29:13 +02:00
..
Makefile Using libc assembler code in dhrystone stdlib.c 2015-06-07 07:29:13 +02:00
dhry.h Initial import 2015-06-06 14:14:32 +02:00
dhry_1.c Using libc assembler code in dhrystone stdlib.c 2015-06-07 07:29:13 +02:00
dhry_1_orig.c Using libc assembler code in dhrystone stdlib.c 2015-06-07 07:29:13 +02:00
dhry_2.c Initial import 2015-06-06 14:14:32 +02:00
start.S Initial import 2015-06-06 14:14:32 +02:00
stdlib.c Using libc assembler code in dhrystone stdlib.c 2015-06-07 07:29:13 +02:00
testbench.v Added insn timing hack to dryhstone testbench 2015-06-06 21:27:07 +02:00