Update ignore files,and delete no use file

This commit is contained in:
colin 2022-03-10 06:03:50 +00:00
parent 896011449d
commit 400cf6450a
448 changed files with 43 additions and 11232 deletions

55
.gitignore vendored
View File

@ -1,20 +1,51 @@
design/project/target/ .metals/
design/project/project/ .vscode/
# design/project/target/
# design/project/project/
design/project/
design/.idea/ design/.idea/
design/target/ design/target/streams/
design/target/.history
design/target/scala-2.12/
-design/target/scala-2.12/classes/wrapper*
generated_rtl/*.sv
verif/sim/*.log
verif/sim/*.s
verif/sim/*.hex
verif/sim/*.dis
verif/sim/*.tbl
verif/sim/vcs*
verif/sim/simv*
verif/sim/quasar*
verif/sim/*.exe
verif/sim/obj*
verif/sim/*.o
verif/sim/ucli.key
verif/sim/vc_hdrs.h
verif/sim/csrc
verif/sim/*.csv
verif/sim/work
verif/sim/*.dump
verif/sim/*.fsdb
FM_WORK
tracer_logs/*.log
verif/LEC/formality_work/formality_log/*.log
verif/LEC/*.fss
design/snapshots/ design/snapshots/
design/dmi_wrapper.sv
design/firrtl_black_box_resource_files.f
design/gated_latch.sv
design/mem.sv
design/quasar_wrapper.anno.json
design/quasar_wrapper.fir
design/src/main/scala/lib/param.scala design/src/main/scala/lib/param.scala
generated_rtl/ design/*.v
design/*.sv
design/*.f
design/*.json
design/*.fir
# soc/ # soc/
# demo/ # demo/

View File

@ -1,3 +0,0 @@
# Default ignored files
/shelf/
/workspace.xml

View File

@ -1 +0,0 @@
chisel-module-template

View File

@ -1,7 +0,0 @@
<component name="ProjectCodeStyleConfiguration">
<code_scheme name="Project" version="173">
<ScalaCodeStyleSettings>
<option name="MULTILINE_STRING_CLOSING_QUOTES_ON_NEW_LINE" value="true" />
</ScalaCodeStyleSettings>
</code_scheme>
</component>

View File

@ -1,5 +0,0 @@
<component name="ProjectCodeStyleConfiguration">
<state>
<option name="PREFERRED_PROJECT_CODE_STYLE" value="Default" />
</state>
</component>

View File

@ -1,8 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="CompilerConfiguration">
<bytecodeTargetLevel target="1.8">
<module name="chisel-module-template" target="1.8" />
</bytecodeTargetLevel>
</component>
</project>

View File

@ -1,358 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="SVCompilerDirectivesDefines">
<option name="defines">
<map>
<entry key="$PROJECT_DIR$/el2_dec.v">
<value>
<map>
<entry key="RANDOM">
<value>
<list>
<Body />
</list>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<list>
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
</list>
</value>
</entry>
</map>
</value>
</entry>
<entry key="$PROJECT_DIR$/el2_dec_decode_ctl.v">
<value>
<map>
<entry key="RANDOM">
<value>
<list>
<Body />
</list>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<list>
<Body />
<Body />
<Body />
<Body />
</list>
</value>
</entry>
</map>
</value>
</entry>
<entry key="$PROJECT_DIR$/el2_dec_gpr_ctl.v">
<value>
<map>
<entry key="RANDOM">
<value>
<list>
<Body />
</list>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<list>
<Body />
<Body />
<Body />
<Body />
</list>
</value>
</entry>
</map>
</value>
</entry>
<entry key="$PROJECT_DIR$/el2_dec_tlu_ctl.v">
<value>
<map>
<entry key="RANDOM">
<value>
<list>
<Body />
</list>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<list>
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
<Body />
</list>
</value>
</entry>
</map>
</value>
</entry>
<entry key="$PROJECT_DIR$/el2_exu_alu_ctl.v">
<value>
<map>
<entry key="RANDOM">
<value>
<list>
<Body />
</list>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<list>
<Body />
<Body />
<Body />
<Body />
</list>
</value>
</entry>
</map>
</value>
</entry>
<entry key="$PROJECT_DIR$/el2_pic_ctrl.v">
<value>
<map>
<entry key="RANDOM">
<value>
<list>
<Body />
</list>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<list>
<Body />
<Body />
<Body />
<Body />
</list>
</value>
</entry>
</map>
</value>
</entry>
<entry key="$PROJECT_DIR$/top.v">
<value>
<map>
<entry key="RANDOM">
<value>
<list>
<Body />
</list>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<list>
<Body />
<Body />
<Body />
<Body />
</list>
</value>
</entry>
</map>
</value>
</entry>
</map>
</option>
<option name="dependencies">
<map>
<entry key="FIRRTL_AFTER_INITIAL">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="FIRRTL_BEFORE_INITIAL">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="INIT_RANDOM">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="RANDOM">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="RANDOMIZE_DELAY">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="RANDOMIZE_GARBAGE_ASSIGN">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="RANDOMIZE_INVALID_ASSIGN">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="RANDOMIZE_MEM_INIT">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="RANDOMIZE_REG_INIT">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="SYNTHESIS">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
<entry key="VERILATOR">
<value>
<set>
<option value="$PROJECT_DIR$/el2_dec.v" />
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
<option value="$PROJECT_DIR$/src/main/resources/vsrc/el2_ifu_iccm_mem.sv" />
<option value="$PROJECT_DIR$/src/main/resources/vsrc/el2_lsu_dccm_mem.sv" />
<option value="$PROJECT_DIR$/top.v" />
</set>
</value>
</entry>
</map>
</option>
<option name="status" value="NORMAL" />
<option name="version" value="640" />
</component>
</project>

View File

@ -1,6 +0,0 @@
<component name="InspectionProjectProfileManager">
<profile version="1.0">
<option name="myName" value="Project Default" />
<inspection_tool class="ScalaStyle" enabled="false" level="WARNING" enabled_by_default="false" />
</profile>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: com.github.nscala-time:nscala-time_2.12:2.22.0:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: com.github.scopt:scopt_2.12:3.7.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: com.google.protobuf:protobuf-java:3.9.0:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: com.lihaoyi:utest_2.12:0.6.6:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: com.thoughtworks.paranamer:paranamer:2.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:chisel3_2.12:3.3.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:chisel3-core_2.12:3.3.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:chisel3-macros_2.12:3.3.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:chisel-iotesters_2.12:1.4.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:chiseltest_2.12:0.2.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:firrtl_2.12:1.3.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:firrtl-interpreter_2.12:1.3.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: edu.berkeley.cs:treadle_2.12:1.2.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: joda-time:joda-time:2.10.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: junit:junit:4.13:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: net.jcazevedo:moultingyaml_2.12:0.4.2:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.antlr:antlr4-runtime:4.7.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.apache.commons:commons-lang3:3.9:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.apache.commons:commons-text:1.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.fusesource.jansi:jansi:1.11:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.hamcrest:hamcrest-core:1.3:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.joda:joda-convert:2.2.0:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.json4s:json4s-ast_2.12:3.6.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.json4s:json4s-core_2.12:3.6.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.json4s:json4s-native_2.12:3.6.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.json4s:json4s-scalap_2.12:3.6.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.portable-scala:portable-scala-reflect_2.12:0.1.0:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scala-lang.modules:scala-jline:2.12.1:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scala-lang.modules:scala-xml_2.12:1.2.0:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,25 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scala-lang:scala-library:2.12.10:jar" type="Scala">
<properties>
<compiler-classpath>
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jansi.jar" />
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jline.jar" />
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar" />
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-library.jar" />
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar" />
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-xml_2.12.jar" />
</compiler-classpath>
</properties>
<CLASSES>
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-library.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,15 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scala-lang:scala-reflect:2.12.10:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scala-sbt:test-interface:1.0:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scalacheck:scalacheck_2.12:1.14.3:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scalactic:scalactic_2.12:3.0.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.scalatest:scalatest_2.12:3.0.8:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,16 +0,0 @@
<component name="libraryTable">
<library name="sbt: org.yaml:snakeyaml:1.26:jar">
<CLASSES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar!/" />
</CLASSES>
<JAVADOC>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar!/" />
</JAVADOC>
<SOURCES>
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar!/" />
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar!/" />
</SOURCES>
</library>
</component>

View File

@ -1,894 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ProjectRootManager" version="2" languageLevel="JDK_1_8" default="false" project-jdk-name="11" project-jdk-type="JavaSDK" />
<component name="SVCompilerDirectivesDefines">
<option name="define">
<map>
<entry key="RANDOM">
<value>
<Define>
<option name="definitions">
<list>
<Body>
<option name="offset" value="153357" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="offset" value="248582" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="offset" value="266739" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="offset" value="418463" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="offset" value="619760" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="offset" value="17721" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_exu.v" />
</Body>
<Body>
<option name="offset" value="152503" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec_decode_ctl.v" />
</Body>
<Body>
<option name="offset" value="9366" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec_tlu_ctl.v" />
</Body>
<Body>
<option name="offset" value="13807" />
<option name="replacementList" value="$random" />
<option name="source" value="axi4_to_ahb.v" />
</Body>
<Body>
<option name="offset" value="159032" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_pic_ctrl.v" />
</Body>
<Body>
<option name="offset" value="477" />
<option name="replacementList" value="$random" />
<option name="source" value="top.v" />
</Body>
<Body>
<option name="offset" value="67095" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_dec_gpr_ctl.v" />
</Body>
<Body>
<option name="offset" value="17145" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_exu_alu_ctl.v" />
</Body>
<Body>
<option name="offset" value="10031" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_lsu.v" />
</Body>
<Body>
<option name="offset" value="411371" />
<option name="replacementList" value="$random" />
<option name="source" value="el2_ifu_mem_ctl.v" />
</Body>
<Body>
<option name="offset" value="149445" />
<option name="replacementList" value="$random" />
<option name="source" value="dec.v" />
</Body>
</list>
</option>
<option name="dependencies">
<set>
<option value="el2_exu.v" />
<option value="el2_dec_decode_ctl.v" />
<option value="el2_dec_tlu_ctl.v" />
<option value="axi4_to_ahb.v" />
<option value="el2_pic_ctrl.v" />
<option value="top.v" />
<option value="el2_dec_gpr_ctl.v" />
<option value="el2_exu_alu_ctl.v" />
<option value="el2_lsu.v" />
<option value="el2_ifu_mem_ctl.v" />
<option value="dec.v" />
</set>
</option>
</Define>
</value>
</entry>
<entry key="RANDOMIZE">
<value>
<Define>
<option name="definitions">
<list>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="153158" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="153215" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="153266" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="153317" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="248383" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="248440" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="248491" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="248542" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="266540" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="266597" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="266648" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="266699" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="418264" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="418321" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="418372" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="418423" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="619561" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="619618" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="619669" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="619720" />
<option name="source" value="el2_dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="17522" />
<option name="source" value="el2_exu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="17579" />
<option name="source" value="el2_exu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="17630" />
<option name="source" value="el2_exu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="17681" />
<option name="source" value="el2_exu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="152304" />
<option name="source" value="el2_dec_decode_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="152361" />
<option name="source" value="el2_dec_decode_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="152412" />
<option name="source" value="el2_dec_decode_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="152463" />
<option name="source" value="el2_dec_decode_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9167" />
<option name="source" value="el2_dec_tlu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9224" />
<option name="source" value="el2_dec_tlu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9275" />
<option name="source" value="el2_dec_tlu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9326" />
<option name="source" value="el2_dec_tlu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="13608" />
<option name="source" value="axi4_to_ahb.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="13665" />
<option name="source" value="axi4_to_ahb.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="13716" />
<option name="source" value="axi4_to_ahb.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="13767" />
<option name="source" value="axi4_to_ahb.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="158833" />
<option name="source" value="el2_pic_ctrl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="158890" />
<option name="source" value="el2_pic_ctrl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="158941" />
<option name="source" value="el2_pic_ctrl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="158992" />
<option name="source" value="el2_pic_ctrl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="278" />
<option name="source" value="top.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="335" />
<option name="source" value="top.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="386" />
<option name="source" value="top.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="437" />
<option name="source" value="top.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="66896" />
<option name="source" value="el2_dec_gpr_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="66953" />
<option name="source" value="el2_dec_gpr_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="67004" />
<option name="source" value="el2_dec_gpr_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="67055" />
<option name="source" value="el2_dec_gpr_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="16946" />
<option name="source" value="el2_exu_alu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="17003" />
<option name="source" value="el2_exu_alu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="17054" />
<option name="source" value="el2_exu_alu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="17105" />
<option name="source" value="el2_exu_alu_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9832" />
<option name="source" value="el2_lsu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9889" />
<option name="source" value="el2_lsu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9940" />
<option name="source" value="el2_lsu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="9991" />
<option name="source" value="el2_lsu.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="411172" />
<option name="source" value="el2_ifu_mem_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="411229" />
<option name="source" value="el2_ifu_mem_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="411280" />
<option name="source" value="el2_ifu_mem_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="411331" />
<option name="source" value="el2_ifu_mem_ctl.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="149246" />
<option name="source" value="dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="149303" />
<option name="source" value="dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_REG_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="149354" />
<option name="source" value="dec.v" />
</Body>
<Body>
<option name="inclusionDependencies">
<list>
<InclusionDependency>
<option name="name" value="RANDOMIZE_MEM_INIT" />
<option name="rule" value="DEFINED" />
</InclusionDependency>
</list>
</option>
<option name="offset" value="149405" />
<option name="source" value="dec.v" />
</Body>
</list>
</option>
</Define>
</value>
</entry>
</map>
</option>
<option name="version" value="195" />
</component>
</project>

View File

@ -1,9 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ProjectModuleManager">
<modules>
<module fileurl="file://$PROJECT_DIR$/.idea/modules/chisel-module-template.iml" filepath="$PROJECT_DIR$/.idea/modules/chisel-module-template.iml" />
<module fileurl="file://$PROJECT_DIR$/.idea/modules/chisel-module-template-build.iml" filepath="$PROJECT_DIR$/.idea/modules/chisel-module-template-build.iml" />
</modules>
</component>
</project>

View File

@ -1,114 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<module external.linked.project.id="chisel-module-template-build" external.linked.project.path="$MODULE_DIR$/../../project" external.root.project.path="$MODULE_DIR$/../.." external.system.id="SBT" sbt.imports="_root_.sbt.Keys._, _root_.sbt.ScriptedPlugin.autoImport._, _root_.sbt._, _root_.sbt.nio.Keys._, _root_.sbt.plugins.IvyPlugin, _root_.sbt.plugins.JvmPlugin, _root_.sbt.plugins.CorePlugin, _root_.sbt.ScriptedPlugin, _root_.sbt.plugins.SbtPlugin, _root_.sbt.plugins.SemanticdbPlugin, _root_.sbt.plugins.JUnitXmlReportPlugin, _root_.sbt.plugins.Giter8TemplatePlugin, _root_.scala.xml.{TopScope=&amp;gt;SUB:DOLLARscope}" sbt.resolvers="https://oss.sonatype.org/content/repositories/snapshots|maven|sonatype-snapshots, https://repo1.maven.org/maven2/|maven|public, https://oss.sonatype.org/content/repositories/releases|maven|sonatype-releases, file:/home/waleedbinehsan/.sbt/preloaded|maven|local-preloaded, /home/waleedbinehsan/.ivy2/cache|ivy|Local cache" type="SBT_MODULE" version="4">
<component name="NewModuleRootManager">
<output url="file://$MODULE_DIR$/../../project/target/idea-classes" />
<output-test url="file://$MODULE_DIR$/../../project/target/idea-test-classes" />
<exclude-output />
<content url="file://$MODULE_DIR$/../../project">
<sourceFolder url="file://$MODULE_DIR$/../../project" isTestSource="false" />
<excludeFolder url="file://$MODULE_DIR$/../../project/project/target" />
<excludeFolder url="file://$MODULE_DIR$/../../project/target" />
</content>
<orderEntry type="inheritedJdk" />
<orderEntry type="sourceFolder" forTests="false" />
<orderEntry type="module-library">
<library name="sbt: sbt-and-plugins">
<CLASSES>
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jansi.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jline.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-library.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-xml_2.12.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/actions_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/caffeine-2.5.6.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/collections_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/command_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/compiler-bridge_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/compiler-interface-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/completion_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/config-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/core-macros_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/disruptor-3.4.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/fastparse-utils_2.12-0.4.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/fastparse_2.12-0.4.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/file-tree-views-2.1.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/gigahorse-core_2.12-0.5.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/gigahorse-okhttp_2.12-0.5.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/io_2.12-1.3.4.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/ipcsocket-1.0.1.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jawn-parser_2.12-0.10.4.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jline-2.14.6.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jna-5.5.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jna-platform-5.5.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jsch-0.1.54.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/launcher-interface-1.1.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/lenses_2.12-0.4.12.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/librarymanagement-core_2.12-1.3.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/librarymanagement-ivy_2.12-1.3.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/log4j-api-2.11.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/log4j-core-2.11.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/log4j-slf4j-impl-2.11.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/logic_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/main-settings_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/main_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/okhttp-3.14.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/okhttp-urlconnection-3.7.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/okio-1.17.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/protobuf-java-3.7.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/protocol_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/reactive-streams-1.0.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/run_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sbinary_2.12-0.5.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sbt-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scala-parser-combinators_2.12-1.1.2.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scala-reflect-2.12.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scala-xml_2.12-1.2.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scalacache-caffeine_2.12-0.20.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scalacache-core_2.12-0.20.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scalapb-runtime_2.12-0.6.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scripted-plugin_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/shaded-scalajson_2.12-1.0.0-M4.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sjson-new-core_2.12-0.8.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sjson-new-murmurhash_2.12-0.8.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sjson-new-scalajson_2.12-0.8.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/slf4j-api-1.7.26.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sourcecode_2.12-0.1.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/ssl-config-core_2.12-0.4.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/task-system_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/tasks_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/template-resolver-0.1.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/test-agent-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/test-interface-1.0.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/testing_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-cache_2.12-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-control_2.12-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-interface-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-logging_2.12-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-position_2.12-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-relation_2.12-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-scripted_2.12-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-tracking_2.12-1.3.3.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-apiinfo_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-classfile_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-classpath_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-compile-core_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-compile_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-core_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-lm-integration_2.12-1.3.10.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-persist_2.12-1.3.5.jar!/" />
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc_2.12-1.3.5.jar!/" />
</CLASSES>
<JAVADOC />
<SOURCES />
</library>
</orderEntry>
</component>
<component name="SbtModule">
<option name="buildForURI" value="file:$MODULE_DIR$/../../" />
<option name="imports" value="_root_.sbt.Keys._, _root_.sbt.ScriptedPlugin.autoImport._, _root_.sbt._, _root_.sbt.nio.Keys._, _root_.sbt.plugins.IvyPlugin, _root_.sbt.plugins.JvmPlugin, _root_.sbt.plugins.CorePlugin, _root_.sbt.ScriptedPlugin, _root_.sbt.plugins.SbtPlugin, _root_.sbt.plugins.SemanticdbPlugin, _root_.sbt.plugins.JUnitXmlReportPlugin, _root_.sbt.plugins.Giter8TemplatePlugin, _root_.scala.xml.{TopScope=&gt;SUB:DOLLARscope}" />
</component>
</module>

View File

@ -1,52 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<module external.linked.project.id="design [file:/home/waleedbinehsan/Downloads/Quasar/design/]" external.linked.project.path="$MODULE_DIR$/../.." external.root.project.path="$MODULE_DIR$/../.." external.system.id="SBT" type="JAVA_MODULE" version="4">
<component name="NewModuleRootManager" LANGUAGE_LEVEL="JDK_1_8">
<output url="file://$MODULE_DIR$/../../target/scala-2.12/classes" />
<output-test url="file://$MODULE_DIR$/../../target/scala-2.12/test-classes" />
<exclude-output />
<content url="file://$MODULE_DIR$/../..">
<sourceFolder url="file://$MODULE_DIR$/../../src/main/scala" isTestSource="false" />
<sourceFolder url="file://$MODULE_DIR$/../../src/test/scala" isTestSource="true" />
<sourceFolder url="file://$MODULE_DIR$/../../src/main/resources" type="java-resource" />
<excludeFolder url="file://$MODULE_DIR$/../../target" />
</content>
<orderEntry type="inheritedJdk" />
<orderEntry type="sourceFolder" forTests="false" />
<orderEntry type="library" name="sbt: com.github.nscala-time:nscala-time_2.12:2.22.0:jar" level="project" />
<orderEntry type="library" name="sbt: com.github.scopt:scopt_2.12:3.7.1:jar" level="project" />
<orderEntry type="library" name="sbt: com.google.protobuf:protobuf-java:3.9.0:jar" level="project" />
<orderEntry type="library" name="sbt: com.lihaoyi:utest_2.12:0.6.6:jar" level="project" />
<orderEntry type="library" name="sbt: com.thoughtworks.paranamer:paranamer:2.8:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel-iotesters_2.12:1.4.1:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel3-core_2.12:3.3.1:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel3-macros_2.12:3.3.1:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel3_2.12:3.3.1:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:chiseltest_2.12:0.2.1:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:firrtl-interpreter_2.12:1.3.1:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:firrtl_2.12:1.3.1:jar" level="project" />
<orderEntry type="library" name="sbt: edu.berkeley.cs:treadle_2.12:1.2.1:jar" level="project" />
<orderEntry type="library" name="sbt: joda-time:joda-time:2.10.1:jar" level="project" />
<orderEntry type="library" name="sbt: junit:junit:4.13:jar" level="project" />
<orderEntry type="library" name="sbt: net.jcazevedo:moultingyaml_2.12:0.4.2:jar" level="project" />
<orderEntry type="library" name="sbt: org.antlr:antlr4-runtime:4.7.1:jar" level="project" />
<orderEntry type="library" name="sbt: org.apache.commons:commons-lang3:3.9:jar" level="project" />
<orderEntry type="library" name="sbt: org.apache.commons:commons-text:1.8:jar" level="project" />
<orderEntry type="library" name="sbt: org.fusesource.jansi:jansi:1.11:jar" level="project" />
<orderEntry type="library" name="sbt: org.hamcrest:hamcrest-core:1.3:jar" level="project" />
<orderEntry type="library" name="sbt: org.joda:joda-convert:2.2.0:jar" level="project" />
<orderEntry type="library" name="sbt: org.json4s:json4s-ast_2.12:3.6.8:jar" level="project" />
<orderEntry type="library" name="sbt: org.json4s:json4s-core_2.12:3.6.8:jar" level="project" />
<orderEntry type="library" name="sbt: org.json4s:json4s-native_2.12:3.6.8:jar" level="project" />
<orderEntry type="library" name="sbt: org.json4s:json4s-scalap_2.12:3.6.8:jar" level="project" />
<orderEntry type="library" name="sbt: org.portable-scala:portable-scala-reflect_2.12:0.1.0:jar" level="project" />
<orderEntry type="library" name="sbt: org.scala-lang.modules:scala-jline:2.12.1:jar" level="project" />
<orderEntry type="library" name="sbt: org.scala-lang.modules:scala-xml_2.12:1.2.0:jar" level="project" />
<orderEntry type="library" name="sbt: org.scala-lang:scala-library:2.12.10:jar" level="project" />
<orderEntry type="library" name="sbt: org.scala-lang:scala-reflect:2.12.10:jar" level="project" />
<orderEntry type="library" name="sbt: org.scala-sbt:test-interface:1.0:jar" level="project" />
<orderEntry type="library" name="sbt: org.scalacheck:scalacheck_2.12:1.14.3:jar" level="project" />
<orderEntry type="library" name="sbt: org.scalactic:scalactic_2.12:3.0.8:jar" level="project" />
<orderEntry type="library" name="sbt: org.scalatest:scalatest_2.12:3.0.8:jar" level="project" />
<orderEntry type="library" name="sbt: org.yaml:snakeyaml:1.26:jar" level="project" />
</component>
</module>

View File

@ -1,17 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ScalaSbtSettings">
<option name="linkedExternalProjectsSettings">
<SbtProjectSettings>
<option name="externalProjectPath" value="$PROJECT_DIR$" />
<option name="modules">
<set>
<option value="$PROJECT_DIR$" />
<option value="$PROJECT_DIR$/project" />
</set>
</option>
<option name="sbtVersion" value="1.3.10" />
</SbtProjectSettings>
</option>
</component>
</project>

View File

@ -1,14 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="ScalaCompilerConfiguration">
<profile name="sbt 1" modules="swerv-chislified-master_3681" />
<profile name="sbt 2" modules="chisel-module-template">
<parameters>
<parameter value="-Xsource:2.11" />
</parameters>
<plugins>
<plugin path="$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar" />
</plugins>
</profile>
</component>
</project>

View File

@ -1,7 +0,0 @@
<?xml version="1.0" encoding="UTF-8"?>
<project version="4">
<component name="VcsDirectoryMappings">
<mapping directory="$PROJECT_DIR$/.." vcs="Git" />
<mapping directory="$PROJECT_DIR$" vcs="Git" />
</component>
</project>

View File

@ -1 +0,0 @@
sbt.version = 1.3.10

View File

@ -1 +0,0 @@
logLevel := Level.Warn

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

View File

@ -1 +0,0 @@
sbt.internal.DslEntry

File diff suppressed because one or more lines are too long

View File

@ -1,4 +0,0 @@
[debug] downloaded https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.pom
[debug] downloaded https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.pom
[debug] downloaded https://repo1.maven.org/maven2/io/spray/spray-json_2.12/1.3.5/spray-json_2.12-1.3.5.jar
[debug] downloaded https://repo1.maven.org/maven2/org/jetbrains/scala/scala-compiler-indices-protocol_2.12/1.0.8/scala-compiler-indices-protocol_2.12-1.0.8.jar

View File

@ -1 +0,0 @@
{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"}}

Some files were not shown because too many files have changed in this diff Show More