Update beh_ib_func.scala

This commit is contained in:
Junaid Ahmed 2020-09-22 17:25:52 +05:00 committed by GitHub
parent 90ba79ae2c
commit 51c143b73f
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 1 additions and 1 deletions

View File

@ -6,7 +6,7 @@ import chisel3.experimental._
import chisel3.util.HasBlackBoxResource import chisel3.util.HasBlackBoxResource
import chisel3.withClock import chisel3.withClock
object beh_ib_func { object beh_ib_func extends RequireAsyncReset {
// use this for rvdffsc = > io.out := RegEnable(io.din & repl(io.din.getWidth, io.clear), 0.U, io.en) // use this for rvdffsc = > io.out := RegEnable(io.din & repl(io.din.getWidth, io.clear), 0.U, io.en)
// use this for rvdffs = > io.out := RegEnable(io.din, 0.U, io.en) // use this for rvdffs = > io.out := RegEnable(io.din, 0.U, io.en)