Memory connection updated

This commit is contained in:
​Laraib Khan 2021-02-24 16:55:17 +05:00
parent 11695f3916
commit b40ab3459c
53 changed files with 693 additions and 13 deletions

File diff suppressed because one or more lines are too long

View File

@ -51,7 +51,7 @@ module mem
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_RM_3,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_LS,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_DS,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_SD,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_SD,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_RNM,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_BC1,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_BC2,

View File

@ -51,7 +51,7 @@ module mem
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_RM_3,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_LS,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_DS,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_SD,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_SD,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_RNM,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_BC1,
input [DCCM_NUM_BANKS-1:0] dccm_ext_in_pkt_BC2,

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1 +1 @@
["lsu.buffer","lsu.bus_intf","lsu.clkdomain","lsu.dccm_ctl","lsu.lsc_ctl","lsu.lsu_main","lsu.stbuf"]
["DMA","QUASAR","QUASAR_Wrp","dbg.debug","dec.dec_dec","dec.dec_main","dec.tlu","exu.div_main","exu.exu_main","exu.mul","ifu.ifu_top","lib.axi4_to_ahb","lsu.buffer","lsu.bus_intf","lsu.clkdomain","lsu.dccm_ctl","lsu.lsc_ctl","lsu.lsu_main","lsu.stbuf","pic"]

View File

@ -1,2 +1,10 @@
[warn] there were 337 feature warnings; re-run with -feature for details
[warn] one warning found
[warn] /home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lib/lib.scala:25:5: match may not be exhaustive.
[warn] It would fail on the following inputs: (0, _), (1, _), (??, _), (_, 0), (_, 1), (_, ??), (_, _)
[warn]  (ICACHE_WAYPACK, ICACHE_ECC) match{
[warn]  ^
[warn] /home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/quasar.scala:326:8: Generated class QUASAR differs only in case from quasar.
[warn]  Such classes will overwrite one another on case-insensitive filesystems.
[warn] object QUASAR extends App {
[warn]  ^
[warn] there were 5450 feature warnings; re-run with -feature for details
[warn] three warnings found

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1 +1 @@
-1342856941
-1402224808

View File

@ -1 +1,591 @@
[debug] Jar uptodate: /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar
[debug] Packaging /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar ...
[debug] Input file mappings:
[debug]  lsu
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu
[debug]  lsu/lsu_addrcheck.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_addrcheck.class
[debug]  lsu/lsu_dccm_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class
[debug]  lsu/clkdomain$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/clkdomain$.class
[debug]  lsu/bus_intf.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/bus_intf.class
[debug]  lsu/lsu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu.class
[debug]  lsu/lsu_bus_buffer$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class
[debug]  lsu/dccm_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/dccm_ctl.class
[debug]  lsu/lsu_trigger.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_trigger.class
[debug]  lsu/dccm_ctl$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/dccm_ctl$.class
[debug]  lsu/buffer.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/buffer.class
[debug]  lsu/bus_intf$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/bus_intf$.class
[debug]  lsu/stbuf.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/stbuf.class
[debug]  lsu/lsu_ecc.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_ecc.class
[debug]  lsu/buffer$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class
[debug]  lsu/bus_intf$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/bus_intf$delayedInit$body.class
[debug]  lsu/stbuf$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/stbuf$delayedInit$body.class
[debug]  lsu/lsu_stbuf$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class
[debug]  lsu/lsu_main$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_main$delayedInit$body.class
[debug]  lsu/lsu_bus_intf$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class
[debug]  lsu/stbuf$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/stbuf$.class
[debug]  lsu/lsu_main.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_main.class
[debug]  lsu/lsu_ecc$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class
[debug]  lsu/dccm_ctl$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/dccm_ctl$delayedInit$body.class
[debug]  lsu/lsu_bus_buffer.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_buffer.class
[debug]  lsu/lsc_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsc_ctl.class
[debug]  lsu/lsc_ctl$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsc_ctl$.class
[debug]  lsu/clkdomain.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/clkdomain.class
[debug]  lsu/buffer$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/buffer$.class
[debug]  lsu/lsu_main$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_main$.class
[debug]  lsu/lsu_lsc_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class
[debug]  lsu/lsu_dccm_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class
[debug]  lsu/lsu_addrcheck$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class
[debug]  lsu/clkdomain$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/clkdomain$delayedInit$body.class
[debug]  lsu/lsu$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu$$anon$1.class
[debug]  lsu/lsu_trigger$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class
[debug]  lsu/lsu_clkdomain.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_clkdomain.class
[debug]  lsu/lsu_bus_intf.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_intf.class
[debug]  lsu/lsu_lsc_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class
[debug]  lsu/lsc_ctl$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsc_ctl$delayedInit$body.class
[debug]  lsu/lsu_stbuf.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_stbuf.class
[debug]  lsu/lsu_clkdomain$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class
[debug]  DMA.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/DMA.class
[debug]  pic_ctrl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/pic_ctrl.class
[debug]  lib
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib
[debug]  lib/lib$gated_latch$$anon$4.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class
[debug]  lib/lib$rvdffiee$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffiee$.class
[debug]  lib/lib$rvdffpcie$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffpcie$.class
[debug]  lib/lib$rvdff_fpga$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdff_fpga$.class
[debug]  lib/lib$gated_latch.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$gated_latch.class
[debug]  lib/lib$rvclkhdr$$anon$5.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class
[debug]  lib/param.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/param.class
[debug]  lib/lib$rvoclkhdr$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvoclkhdr$.class
[debug]  lib/axi4_to_ahb$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/axi4_to_ahb$delayedInit$body.class
[debug]  lib/lib$rvecc_encode$$anon$2.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class
[debug]  lib/lib$rvecc_encode_64$$anon$3.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class
[debug]  lib/lib$rvdfflie$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdfflie$.class
[debug]  lib/lib$rvclkhdr.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvclkhdr.class
[debug]  lib/ahb_to_axi4$$anon$1$$anon$2.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class
[debug]  lib/lib$rvdffe$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffe$.class
[debug]  lib/lib$rvclkhdr$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvclkhdr$.class
[debug]  lib/axi4_to_ahb_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class
[debug]  lib/ahb_to_axi4$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class
[debug]  lib/lib$rvdffsc_fpga$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffsc_fpga$.class
[debug]  lib/lib$rvsyncss_fpga$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvsyncss_fpga$.class
[debug]  lib/lib$rvsyncss$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvsyncss$.class
[debug]  lib/ahb_to_axi4.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/ahb_to_axi4.class
[debug]  lib/lib$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$$anon$1.class
[debug]  lib/axi4_to_ahb$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/axi4_to_ahb$.class
[debug]  lib/lib.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib.class
[debug]  lib/axi4_to_ahb.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/axi4_to_ahb.class
[debug]  lib/lib$rvdffppe$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffppe$.class
[debug]  lib/lib$rvecc_encode_64.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class
[debug]  lib/lib$rvdffie$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffie$.class
[debug]  lib/lib$rvecc_encode.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode.class
[debug]  lib/lib$rvdffs_fpga$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffs_fpga$.class
[debug]  dec
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec
[debug]  dec/int_exc$$anon$3.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/int_exc$$anon$3.class
[debug]  dec/dec_main$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class
[debug]  dec/dec_timer_ctl_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class
[debug]  dec/dec_dec_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec_ctl.class
[debug]  dec/dec_decode_csr_read_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class
[debug]  dec/perf_mux_and_flops.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/perf_mux_and_flops.class
[debug]  dec/tlu$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/tlu$.class
[debug]  dec/CSRs.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/CSRs.class
[debug]  dec/dec_dec.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec.class
[debug]  dec/dec_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_IO.class
[debug]  dec/int_exc.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/int_exc.class
[debug]  dec/dec_ib_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_ib_ctl.class
[debug]  dec/perf_mux_and_flops$$anon$2.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/perf_mux_and_flops$$anon$2.class
[debug]  dec/dec_decode_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_ctl.class
[debug]  dec/CSR_VAL.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/CSR_VAL.class
[debug]  dec/dec_dec_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class
[debug]  dec/dec_decode_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class
[debug]  dec/dec_dec$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec$.class
[debug]  dec/tlu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/tlu.class
[debug]  dec/dec_timer_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_timer_ctl.class
[debug]  dec/perf_csr.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/perf_csr.class
[debug]  dec/dec_tlu_ctl_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class
[debug]  dec/dec_gpr_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_gpr_ctl.class
[debug]  dec/csr_tlu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/csr_tlu.class
[debug]  dec/dec_main.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_main.class
[debug]  dec/dec_main$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_main$.class
[debug]  dec/dec_trigger.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_trigger.class
[debug]  dec/dec_tlu_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_tlu_ctl.class
[debug]  dec/dec_gpr_ctl_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class
[debug]  dec/dec_decode_csr_read.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_csr_read.class
[debug]  dec/CSR_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/CSR_IO.class
[debug]  dec/dec.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec.class
[debug]  dec/dec_dec$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec$delayedInit$body.class
[debug]  dec/dec_trigger$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class
[debug]  dec/perf_csr$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/perf_csr$$anon$1.class
[debug]  dec/tlu$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/tlu$delayedInit$body.class
[debug]  dec/dec_ib_ctl_IO.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class
[debug]  vsrc
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc
[debug]  vsrc/mem_lib.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_lib.sv
[debug]  vsrc/mem.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem.sv
[debug]  vsrc/ifu_iccm_mem.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv
[debug]  vsrc/ifu_ic_mem.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv
[debug]  vsrc/dmi_jtag_to_core_sync.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv
[debug]  vsrc/gated_latch.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/gated_latch.sv
[debug]  vsrc/mem_mod.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_mod.sv
[debug]  vsrc/beh_lib.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/beh_lib.sv
[debug]  vsrc/lsu_dccm_mem.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv
[debug]  vsrc/dmi_wrapper.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_wrapper.sv
[debug]  vsrc/rvjtag_tap.sv
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/rvjtag_tap.sv
[debug]  QUASAR$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/QUASAR$.class
[debug]  quasar_wrapper$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/quasar_wrapper$$anon$1.class
[debug]  ifu
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu
[debug]  ifu/ifu_top$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_top$.class
[debug]  ifu/ifu_top$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_top$delayedInit$body.class
[debug]  ifu/ifu_aln_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class
[debug]  ifu/ifu_compress_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_compress_ctl.class
[debug]  ifu/ifu_mem_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_mem_ctl.class
[debug]  ifu/ifu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu.class
[debug]  ifu/mem_ctl_io.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/mem_ctl_io.class
[debug]  ifu/ifu_top.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_top.class
[debug]  ifu/ifu_ifc_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class
[debug]  ifu/ifu_bp_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class
[debug]  ifu/ifu_bp_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_bp_ctl.class
[debug]  ifu/ifu_compress_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class
[debug]  ifu/ifu_aln_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_aln_ctl.class
[debug]  ifu/ifu_ifc_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class
[debug]  ifu/ifu$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu$$anon$1.class
[debug]  pic$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/pic$.class
[debug]  pic.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/pic.class
[debug]  dmi
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi
[debug]  dmi/dmi_wrapper$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class
[debug]  dmi/dmi_wrapper_module.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper_module.class
[debug]  dmi/dmi_wrapper_module$$anon$2.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class
[debug]  dmi/dmi_wrapper.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper.class
[debug]  dma_ctrl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dma_ctrl$$anon$1.class
[debug]  QUASAR_Wrp.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/QUASAR_Wrp.class
[debug]  QUASAR_Wrp$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/QUASAR_Wrp$.class
[debug]  quasar_bundle$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/quasar_bundle$$anon$1.class
[debug]  mem
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem
[debug]  mem/quasar$mem.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/quasar$mem.class
[debug]  mem/blackbox_mem.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/blackbox_mem.class
[debug]  mem/Mem_bundle.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/Mem_bundle.class
[debug]  mem/quasar.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/quasar.class
[debug]  mem/quasar$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/quasar$.class
[debug]  mem/mem_lsu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/mem_lsu.class
[debug]  include
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include
[debug]  include/dec_ifc.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_ifc.class
[debug]  include/reg_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/reg_pkt_t.class
[debug]  include/ext_in_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ext_in_pkt_t.class
[debug]  include/lsu_dma.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_dma.class
[debug]  include/ic_mem.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ic_mem.class
[debug]  include/dec_bp.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_bp.class
[debug]  include/predict_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/predict_pkt_t.class
[debug]  include/dec_mem_ctrl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_mem_ctrl.class
[debug]  include/class_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/class_pkt_t.class
[debug]  include/ahb_out.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_out.class
[debug]  include/br_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/br_pkt_t.class
[debug]  include/cache_debug_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/cache_debug_pkt_t.class
[debug]  include/dec_alu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_alu.class
[debug]  include/decode_exu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/decode_exu.class
[debug]  include/dccm_ext_in_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class
[debug]  include/dec_aln.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_aln.class
[debug]  include/dec_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_pkt_t.class
[debug]  include/dma_mem_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_mem_ctl.class
[debug]  include/write_resp.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_resp.class
[debug]  include/tlu_dma.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/tlu_dma.class
[debug]  include/tlu_busbuff.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/tlu_busbuff.class
[debug]  include/read_addr.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_addr.class
[debug]  include/exu_ifu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/exu_ifu.class
[debug]  include/lsu_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_pkt_t.class
[debug]  include/write_data.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_data.class
[debug]  include/dma_dccm_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_dccm_ctl.class
[debug]  include/dctl_busbuff.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dctl_busbuff.class
[debug]  include/dma_lsc_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_lsc_ctl.class
[debug]  include/mul_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/mul_pkt_t.class
[debug]  include/div_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/div_pkt_t.class
[debug]  include/dec_tlu_csr_pkt.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class
[debug]  include/ib_exu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ib_exu.class
[debug]  include/dctl_dma.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dctl_dma.class
[debug]  include/dma_ifc.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_ifc.class
[debug]  include/write_addr$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_addr$.class
[debug]  include/aln_dec.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/aln_dec.class
[debug]  include/lsu_tlu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_tlu.class
[debug]  include/read_addr$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_addr$.class
[debug]  include/gpr_exu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/gpr_exu.class
[debug]  include/lsu_error_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_error_pkt_t.class
[debug]  include/ahb_channel.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_channel.class
[debug]  include/aln_ib.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/aln_ib.class
[debug]  include/ahb_in.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_in.class
[debug]  include/iccm_mem.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/iccm_mem.class
[debug]  include/inst_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/inst_pkt_t.class
[debug]  include/write_addr.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_addr.class
[debug]  include/dbg_ib.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dbg_ib.class
[debug]  include/alu_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/alu_pkt_t.class
[debug]  include/dec_div.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_div.class
[debug]  include/dbg_dma.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dbg_dma.class
[debug]  include/ic_data_ext_in_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class
[debug]  include/br_tlu_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/br_tlu_pkt_t.class
[debug]  include/exu_bp.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/exu_bp.class
[debug]  include/dest_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dest_pkt_t.class
[debug]  include/dec_dma.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_dma.class
[debug]  include/tlu_exu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/tlu_exu.class
[debug]  include/ic_tag_ext_in_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class
[debug]  include/rets_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/rets_pkt_t.class
[debug]  include/trap_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/trap_pkt_t.class
[debug]  include/trace_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/trace_pkt_t.class
[debug]  include/ccm_ext_in_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class
[debug]  include/lsu_exu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_exu.class
[debug]  include/axi_channels$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/axi_channels$.class
[debug]  include/lsu_dec.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_dec.class
[debug]  include/ifu_dec.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ifu_dec.class
[debug]  include/ahb_out_dma.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_out_dma.class
[debug]  include/dec_pic.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_pic.class
[debug]  include/lsu_pic.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_pic.class
[debug]  include/read_data.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_data.class
[debug]  include/dec_exu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_exu.class
[debug]  include/dec_dbg.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_dbg.class
[debug]  include/ifu_dma.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ifu_dma.class
[debug]  include/load_cam_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/load_cam_pkt_t.class
[debug]  include/axi_channels.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/axi_channels.class
[debug]  include/read_data$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_data$.class
[debug]  include/dbg_dctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dbg_dctl.class
[debug]  include/trigger_pkt_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/trigger_pkt_t.class
[debug]  include/inst_pkt_t$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/inst_pkt_t$.class
[debug]  QUASAR_Wrp$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class
[debug]  dbg
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg
[debug]  dbg/debug.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/debug.class
[debug]  dbg/state_t$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/state_t$.class
[debug]  dbg/dbg.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/dbg.class
[debug]  dbg/sb_state_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/sb_state_t.class
[debug]  dbg/dbg$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/dbg$$anon$1.class
[debug]  dbg/debug$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/debug$.class
[debug]  dbg/state_t.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/state_t.class
[debug]  dbg/sb_state_t$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/sb_state_t$.class
[debug]  dbg/debug$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/debug$delayedInit$body.class
[debug]  DMA$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/DMA$delayedInit$body.class
[debug]  QUASAR$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/QUASAR$delayedInit$body.class
[debug]  pic$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/pic$delayedInit$body.class
[debug]  quasar_bundle.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/quasar_bundle.class
[debug]  quasar.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/quasar.class
[debug]  dma_ctrl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dma_ctrl.class
[debug]  DMA$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/DMA$.class
[debug]  .vscode
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/.vscode
[debug]  .vscode/settings.json
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/.vscode/settings.json
[debug]  pic_ctrl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/pic_ctrl$$anon$1.class
[debug]  quasar_wrapper.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/quasar_wrapper.class
[debug]  QUASAR.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/QUASAR.class
[debug]  exu
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu
[debug]  exu/mul$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/mul$.class
[debug]  exu/mul$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/mul$delayedInit$body.class
[debug]  exu/exu.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu.class
[debug]  exu/exu_div_new_4bit_fullshortq.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class
[debug]  exu/exu_div_new_3bit_fullshortq$$anon$5.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq$$anon$5.class
[debug]  exu/exu_main$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class
[debug]  exu/exu_div_existing_1bit_cheapshortq.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class
[debug]  exu/div_main$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/div_main$.class
[debug]  exu/exu_mul_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class
[debug]  exu/exu_div_cls.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_cls.class
[debug]  exu/div_main$delayedInit$body.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/div_main$delayedInit$body.class
[debug]  exu/exu_alu_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class
[debug]  exu/mul.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/mul.class
[debug]  exu/exu_main.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_main.class
[debug]  exu/exu_div_ctl$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class
[debug]  exu/exu_mul_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_mul_ctl.class
[debug]  exu/exu_div_new_2bit_fullshortq$$anon$4.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq$$anon$4.class
[debug]  exu/exu_div_new_1bit_fullshortq$$anon$3.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq$$anon$3.class
[debug]  exu/exu_main$.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_main$.class
[debug]  exu/exu_div_new_1bit_fullshortq.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class
[debug]  exu/exu_div_new_2bit_fullshortq.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class
[debug]  exu/exu_div_new_4bit_fullshortq$$anon$6.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq$$anon$6.class
[debug]  exu/exu_div_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_ctl.class
[debug]  exu/exu_div_new_3bit_fullshortq.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class
[debug]  exu/div_main.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/div_main.class
[debug]  exu/exu_div_cls$$anon$7.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class
[debug]  exu/exu$$anon$1.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu$$anon$1.class
[debug]  exu/exu_div_existing_1bit_cheapshortq$$anon$2.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq$$anon$2.class
[debug]  exu/exu_alu_ctl.class
[debug]  /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_alu_ctl.class
[debug] Done packaging.