quasar/target/streams/test/packageBin/_global/streams/out

1.2 KiB

[debug] Packaging /home/waleedbinehsan/Desktop/SweRV-Chisel/target/scala-2.12/chisel-module-template_2.12-3.3.0-tests.jar ...
[debug] Input file mappings:
[debug] lib
[debug] /home/waleedbinehsan/Desktop/SweRV-Chisel/target/scala-2.12/test-classes/lib
[debug] lib/GCDMain$.class
[debug] /home/waleedbinehsan/Desktop/SweRV-Chisel/target/scala-2.12/test-classes/lib/GCDMain$.class
[debug] lib/GCDMain$delayedInit$body.class
[debug] /home/waleedbinehsan/Desktop/SweRV-Chisel/target/scala-2.12/test-classes/lib/GCDMain$delayedInit$body.class
[debug] lib/Tester.class
[debug] /home/waleedbinehsan/Desktop/SweRV-Chisel/target/scala-2.12/test-classes/lib/Tester.class
[debug] lib/GCDMain.class
[debug] /home/waleedbinehsan/Desktop/SweRV-Chisel/target/scala-2.12/test-classes/lib/GCDMain.class
[debug] Done packaging.