Rename el2_ifu_compress.scala to el2_ifu_compress_ctl.scala

This commit is contained in:
Sarmad-paracha 2020-09-24 11:02:59 +05:00 committed by GitHub
parent ab68ee287d
commit 195b0904c3
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 1 additions and 1 deletions

View File

@ -223,4 +223,4 @@ class el2_ifu_compress( val XLen: Int, val usingCompressed: Boolean) extends Mod
object ifu_compress extends App { object ifu_compress extends App {
println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_compress(64, true))) println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_compress(64, true)))
} }