Update el2_ifu_ifc_ctrl.scala

This commit is contained in:
Sarmad-paracha 2020-09-25 21:02:58 +05:00 committed by GitHub
parent 45d3f79e82
commit 40779e33e7
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 2 additions and 2 deletions

View File

@ -154,7 +154,7 @@ val io = IO(new Bundle{
io.ifc_fetch_addr_f := RegEnable(io.ifc_fetch_addr_bf, init = 0.U, io.exu_flush_final|io.ifc_fetch_req_f) io.ifc_fetch_addr_f := RegEnable(io.ifc_fetch_addr_bf, init = 0.U, io.exu_flush_final|io.ifc_fetch_req_f)
} }
/*
object ifu_ifc extends App { object ifu_ifc extends App {
println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_ifc_ctrl())) println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_ifc_ctrl()))
} }*/