Compare commits

...

2 Commits

Author SHA1 Message Date
Colin 3255e9e952 Pass ECP5 fpga and jlink debug core. 2025-04-02 10:41:27 +08:00
Colin b188194887 Add led output, refine io plan. 2025-04-01 18:11:04 +08:00
5 changed files with 45 additions and 14 deletions

View File

@ -18,6 +18,7 @@ module example_soc #(
// System clock + reset // System clock + reset
input wire clk, input wire clk,
input wire rst_n, input wire rst_n,
output wire led_o,
// JTAG port to RISC-V JTAG-DTM // JTAG port to RISC-V JTAG-DTM
input wire tck, input wire tck,
@ -55,6 +56,15 @@ reg [31:0] dmi_prdata;
wire dmi_pready; wire dmi_pready;
wire dmi_pslverr; wire dmi_pslverr;
reg [31:0] cpt_s;
wire [31:0] cpt_next_s = cpt_s + 1'b1;
assign led_o = cpt_s[22];
always @(posedge clk) begin
if (rst_n) begin
cpt_s <= cpt_next_s;
end
end
// TCK-domain DTM logic can force a hard reset // TCK-domain DTM logic can force a hard reset
wire dmihardreset_req; wire dmihardreset_req;

View File

@ -16,8 +16,8 @@ int main() {
uart_wait_done(); uart_wait_done();
// tb_puts("Hello world from Hazard3 + CXXRTL!\n"); // tb_puts("Hello world from Hazard3 + CXXRTL!\n");
uint32_t addr = 0x40008000; // uint32_t addr = 0x40008000;
uint32_t *point = (uint32_t *)addr; // uint32_t *point = (uint32_t *)addr;
*point = 'C'; // *point = 'C';
return 123; return 123;
} }

View File

@ -34,18 +34,18 @@ synth: $(FILE_LIST) $(wildcard *.vh)
yosys -p '$(SYNTH_CMD)' yosys -p '$(SYNTH_CMD)'
nextpnr: nextpnr:
nextpnr-ecp5 --25k --package CABGA381 --speed 6 --lpf-allow-unconstrained --textcfg $(BUILD_DIR)/soc.cfg --lpf soc.lpf --freq 12 --json $(BUILD_DIR)/soc.json nextpnr-ecp5 --25k --package CABGA381 --speed 6 --lpf-allow-unconstrained --textcfg $(BUILD_DIR)/soc.cfg --lpf soc.lpf --freq 25 --json $(BUILD_DIR)/soc.json
$(BUILD_DIR)/soc.bit: $(BUILD_DIR)/soc.cfg $(BUILD_DIR)/soc.bit: $(BUILD_DIR)/soc.cfg
ecppack --svf $(BUILD_DIR)/soc.svf ./$< ./$@ ecppack --svf $(BUILD_DIR)/soc.svf ./$< ./$@
$(BUILD_DIR)/soc.svf : $(BUILD_DIR)/soc.bit $(BUILD_DIR)/soc.svf : $(BUILD_DIR)/soc.bit
prog: $(BUILD_DIR)/soc.svf prog: $(BUILD_DIR)/soc.bit
ecpdap program $(BUILD_DIR)/soc.svf ecpdap program $(BUILD_DIR)/soc.bit
flash: $(BUILD_DIR)/soc.bit flash: $(BUILD_DIR)/soc.bit
ecpdap flash write $(BUILD_DIR)/soc.svf ecpdap flash write $(BUILD_DIR)/soc.bit
CXXRTL_CMD += read_verilog -I ../../../hdl -DCONFIG_HEADER="config_$(CONFIG).vh" $(FILE_LIST); CXXRTL_CMD += read_verilog -I ../../../hdl -DCONFIG_HEADER="config_$(CONFIG).vh" $(FILE_LIST);
@ -65,6 +65,9 @@ sim: $(TBEXEC)
openocd: openocd:
openocd -f openocd.cfg openocd -f openocd.cfg
jlink:
openocd -f openocd-jlink.cfg
gdb: gdb:
/opt/riscv/bin/riscv32-unknown-elf-gdb -x gdb_init /opt/riscv/bin/riscv32-unknown-elf-gdb -x gdb_init

View File

@ -0,0 +1,15 @@
adapter driver jlink
transport select jtag
adapter speed 2000
transport select jtag
set _CHIPNAME hazard3
jtag newtap $_CHIPNAME cpu -irlen 5
set _TARGETNAME $_CHIPNAME.cpu
target create $_TARGETNAME riscv -chain-position $_TARGETNAME
gdb_report_data_abort enable
init
halt

View File

@ -3,16 +3,18 @@ LOCATE COMP "clk" SITE "P3";
IOBUF PORT "clk" IO_TYPE=LVCMOS33; IOBUF PORT "clk" IO_TYPE=LVCMOS33;
FREQUENCY PORT "clk" 25 MHZ; FREQUENCY PORT "clk" 25 MHZ;
LOCATE COMP "led_o" SITE "U16";
IOBUF PORT "led_o" IO_TYPE=LVCMOS33;
LOCATE COMP "trst_n" SITE "D20";
IOBUF PORT "trst_n" IO_TYPE=LVCMOS33;
FREQUENCY PORT "trst_n" 25 MHZ;
LOCATE COMP "rst_n" SITE "B19"; LOCATE COMP "rst_n" SITE "B19";
IOBUF PORT "rst_n" IO_TYPE=LVCMOS33; IOBUF PORT "rst_n" PULLMODE=UP IO_TYPE=LVCMOS33;
FREQUENCY PORT "rst_n" 25 MHZ; FREQUENCY PORT "rst_n" 25 MHZ;
LOCATE COMP "trst_n" SITE "H5";
IOBUF PORT "trst_n" PULLMODE=UP IO_TYPE=LVCMOS33;
FREQUENCY PORT "trst_n" 25 MHZ;
LOCATE COMP "tdi" SITE "E1"; LOCATE COMP "tdi" SITE "E1";
IOBUF PORT "tdi" IO_TYPE=LVCMOS33; IOBUF PORT "tdi" IO_TYPE=LVCMOS33;
@ -30,9 +32,10 @@ IOBUF PORT "tms" IO_TYPE=LVCMOS33;
FREQUENCY PORT "tms" 25 MHZ; FREQUENCY PORT "tms" 25 MHZ;
LOCATE COMP "uart_rx" SITE "J5"; LOCATE COMP "uart_rx" SITE "D20";
IOBUF PORT "uart_rx" IO_TYPE=LVCMOS33; IOBUF PORT "uart_rx" IO_TYPE=LVCMOS33;
FREQUENCY PORT "uart_rx" 25 MHZ; FREQUENCY PORT "uart_rx" 25 MHZ;
LOCATE COMP "uart_tx" SITE "U16"; LOCATE COMP "uart_tx" SITE "F20";
IOBUF PORT "uart_tx" IO_TYPE=LVCMOS33; IOBUF PORT "uart_tx" IO_TYPE=LVCMOS33;
FREQUENCY PORT "uart_tx" 25 MHZ;