Compare commits
263 Commits
Author | SHA1 | Date |
---|---|---|
|
5721e092bb | |
|
d61bc07515 | |
|
fd6791eb26 | |
|
b6a916aca4 | |
|
cdd2f57902 | |
|
68653daa2c | |
|
85b5ac0f8b | |
|
0948bc9cfa | |
|
2780c08c6a | |
|
400cf6450a | |
|
896011449d | |
|
2bc0985afd | |
|
59968dce28 | |
|
53c4c9e87a | |
|
3457bf3e82 | |
|
9f20ea977f | |
|
21cd2024bb | |
|
0255c7fa9d | |
|
5dd747e1a8 | |
|
a263903e7e | |
|
0e91028b8d | |
|
902f4046fc | |
|
46a5cc2d86 | |
|
d533ec413e | |
|
e6e6ad233a | |
|
a180a336ba | |
|
545ffa223b | |
|
4f7ce52d89 | |
|
1a79af7965 | |
|
a90fe1c613 | |
|
9619596488 | |
|
1358754e8d | |
|
6357b8d0cd | |
|
2d9eda1e2e | |
|
d0a9d54b91 | |
|
6ad23a0226 | |
|
b40ab3459c | |
|
11695f3916 | |
|
5d42a80edf | |
|
5509edc39d | |
|
904ac0263f | |
|
21b5cc23f7 | |
|
46e545e733 | |
|
3b9f229475 | |
|
11c09dc85b | |
|
df4b1058f1 | |
|
2f42344e29 | |
|
95a4cc20df | |
|
7ae7c4687f | |
|
33a4c11f1c | |
|
3de7adb50f | |
|
2b0f57e6bf | |
|
4fa5ee274d | |
|
d19b173907 | |
|
b013d2cd7f | |
|
8143bb1772 | |
|
92b7b00a3a | |
|
9da7df3623 | |
|
60faa36fe5 | |
|
630c544fb1 | |
|
80ac7ee439 | |
|
b9cdbac350 | |
|
f23f878f60 | |
|
6479386424 | |
|
9d2075de64 | |
|
a0f383cb2d | |
|
b17f70b674 | |
|
fd4ab0599d | |
|
208045e9c3 | |
|
a57b0aa45f | |
|
80802390ad | |
|
91635292c6 | |
|
a5be674839 | |
|
a25ee3cf0e | |
|
ef2f0bbbb2 | |
|
5ec570e847 | |
|
f2bbfb2e20 | |
|
c228964d6a | |
|
c68cb08744 | |
|
f8874723a6 | |
|
ed92fad092 | |
|
5ac08982de | |
|
ce64b77952 | |
|
81f85d455b | |
|
43cddfd8b7 | |
|
43402819b0 | |
|
9479360020 | |
|
d13d0efa85 | |
|
d9dc8848f9 | |
|
be9a487ba1 | |
|
7881351a25 | |
|
1c84acbbfc | |
|
bf4de4dc91 | |
|
dbe63e1ae6 | |
|
c874128938 | |
|
6b63669e0c | |
|
a1acaaa217 | |
|
4e2d0d75c2 | |
|
f85272ce0a | |
|
675d53d37f | |
|
92cf822089 | |
|
967cfe3819 | |
|
042ddf10b4 | |
|
64be0093cb | |
|
65d5369d1e | |
|
a4474e1299 | |
|
2527f455ca | |
|
722fb8bdc0 | |
|
119a9ad388 | |
|
45fac8e01d | |
|
1119469d76 | |
|
adce90c0e9 | |
|
c52c6324d9 | |
|
e5b9988491 | |
|
e1c566dae9 | |
|
bb89af4b68 | |
|
57f1b66c15 | |
|
0cd4180d83 | |
|
17fe416e15 | |
|
c438fae14a | |
|
46ffb7c24f | |
|
309087b854 | |
|
c0504d57a0 | |
|
8c477719a6 | |
|
bfeaa72eda | |
|
26ff2b4009 | |
|
d8a8c019ed | |
|
a6f2164595 | |
|
6ce67de95c | |
|
1b7a0b47e1 | |
|
248ab0784b | |
|
01d018f555 | |
|
b1a6c0bf30 | |
|
e1ce51fdd4 | |
|
b8b042faa8 | |
|
9f30e1773b | |
|
07a61a92cf | |
|
1c41a85c25 | |
|
8892c85b0c | |
|
9d0f8900fc | |
|
15483fd532 | |
|
1badd94e5d | |
|
d2c1fc52db | |
|
6b768acbc7 | |
|
5f5f691ec1 | |
|
a19d561a77 | |
|
ff87a1341a | |
|
4328532fdb | |
|
c80dac6075 | |
|
3ab9b841d7 | |
|
4cf7b083e5 | |
|
9a6d820227 | |
|
09739661c2 | |
|
168d355e26 | |
|
9e1350202d | |
|
b995258ecd | |
|
a73ad4942e | |
|
8616219c43 | |
|
3a1fa4fbd7 | |
|
fd0b01190e | |
|
b9c70b1fb5 | |
|
d48d2bb461 | |
|
439c0adebc | |
|
7a1457b2e2 | |
|
5e4f49b6b2 | |
|
86bb3d7c2c | |
|
cbff4bad75 | |
|
a1772cfe2b | |
|
04eba9f5f5 | |
|
c5414d6a20 | |
|
76f0c4f9a9 | |
|
cf771bdcf4 | |
|
6976647dc5 | |
|
c90004a1d3 | |
|
a199293620 | |
|
174b18b84e | |
|
408cd7612b | |
|
d1c93cfccb | |
|
9ae43ddb94 | |
|
6c3eda7075 | |
|
e816ea5776 | |
|
eff5d74c44 | |
|
a91a14fd34 | |
|
58343b6532 | |
|
4518880c47 | |
|
e6054811cd | |
|
6a0fe72da2 | |
|
cd13b88467 | |
|
ea912f6dee | |
|
d10e4748be | |
|
3694303a8d | |
|
3c00117ccf | |
|
ad3359da87 | |
|
1c0d6d492c | |
|
9f7ddbee4c | |
|
ab0b53b11f | |
|
e4ec02558c | |
|
23069873a9 | |
|
220b0575c1 | |
|
5ed044d54b | |
|
964d3960a2 | |
|
c4d5618ac1 | |
|
cf122545de | |
|
89e70f46c8 | |
|
37641a8a14 | |
|
7dc1174c9a | |
|
2b59a630d6 | |
|
2afae08254 | |
|
4b73eb7a6f | |
|
4e107cc640 | |
|
2a2474ca44 | |
|
4a560e881a | |
|
4ab72523c7 | |
|
f0544e52ca | |
|
f399b91153 | |
|
6686380981 | |
|
2b6bfabc4f | |
|
32abf7c324 | |
|
854445ca27 | |
|
0ef2742e74 | |
|
aacb784805 | |
|
264b94b397 | |
|
8fdc50f01e | |
|
0a95ef12ce | |
|
51c143b73f | |
|
90ba79ae2c | |
|
080417afc1 | |
|
33a6ca89b3 | |
|
ccdab1e35c | |
|
9e6d3eb36c | |
|
5474c212a2 | |
|
39d2f675be | |
|
ac47375afd | |
|
5cc210e06d | |
|
2da85e1800 | |
|
faebf955b4 | |
|
ce85542554 | |
|
df2e8fcd48 | |
|
698c0fc1a1 | |
|
536c46df44 | |
|
f60e035eeb | |
|
aa4da62dd9 | |
|
6928b8d554 | |
|
1b50c01e9f | |
|
a63562c44f | |
|
9feb32e9a5 | |
|
816dd73ce3 | |
|
a54f947419 | |
|
dffa6e549b | |
|
e4ba61b774 | |
|
23995c11da | |
|
7c29f90e7b | |
|
08ca9a4e96 | |
|
11f8703ba3 | |
|
011463b493 | |
|
3062c9343e | |
|
fa1a39200a | |
|
1fc689d6ad | |
|
5ddac811dc | |
|
a39dfaabdf | |
|
5e73c9b102 | |
|
5babe11fe8 | |
|
0e4fff42ab |
|
@ -0,0 +1,48 @@
|
|||
.metals/
|
||||
.vscode/
|
||||
|
||||
# design/project/target/
|
||||
# design/project/project/
|
||||
design/project/
|
||||
design/.idea/
|
||||
|
||||
design/target/
|
||||
|
||||
generated_rtl/*.sv
|
||||
verif/sim/*.log
|
||||
verif/sim/*.s
|
||||
verif/sim/*.hex
|
||||
verif/sim/*.dis
|
||||
verif/sim/*.tbl
|
||||
verif/sim/vcs*
|
||||
verif/sim/simv*
|
||||
verif/sim/quasar*
|
||||
verif/sim/*.exe
|
||||
verif/sim/obj*
|
||||
verif/sim/*.o
|
||||
verif/sim/ucli.key
|
||||
verif/sim/vc_hdrs.h
|
||||
verif/sim/csrc
|
||||
verif/sim/*.csv
|
||||
verif/sim/work
|
||||
verif/sim/*.dump
|
||||
verif/sim/*.fsdb
|
||||
FM_WORK
|
||||
tracer_logs/*.log
|
||||
verif/LEC/formality_work/formality_log/*.log
|
||||
verif/LEC/*.fss
|
||||
|
||||
design/snapshots/
|
||||
design/src/main/scala/lib/param.scala
|
||||
|
||||
design/*.v
|
||||
design/*.sv
|
||||
design/*.f
|
||||
design/*.json
|
||||
design/*.fir
|
||||
|
||||
|
||||
# soc/
|
||||
# demo/
|
||||
|
||||
|
77
README.md
77
README.md
|
@ -1,6 +1,6 @@
|
|||
# Quasar RISC-V Core 1.0 from Lampro Mellon
|
||||
# Quasar RISC-V Core 2.0 from Lampro Mellon
|
||||
|
||||
This repository contains the SweRV-EL2 Core written in CHISEL named "Quasar".
|
||||
This repository contains the Quasar Core design in CHISEL.
|
||||
|
||||
## License
|
||||
|
||||
|
@ -40,18 +40,22 @@ Quasar is a Chiselified version of EL2 SweRV RISC-V Core.
|
|||
├── generated_rtl # Quasar wrapper
|
||||
├── testbench
|
||||
│ ├── asm # Example assembly files
|
||||
│ └── hex # Canned demo hex files
|
||||
│ ├── hex # Canned demo hex files
|
||||
│ └── tests # Example tests
|
||||
├── tools # Scripts/Makefiles
|
||||
├── tracer_logs # generated log files
|
||||
└── verif
|
||||
├── LEC
|
||||
├── LEC
|
||||
├── formality_work
|
||||
└── formality_log # LEC log files
|
||||
└── setup_files # user_match files
|
||||
└── sim # Simulation log/dump files
|
||||
|
||||
## Dependencies
|
||||
|
||||
- Verilator **(4.030 or later)** must be installed on the system if running with verilator.
|
||||
- Verilator **(4.102 or later)** must be installed on the system if running with verilator.
|
||||
- Vcs must be installed on the system if running with vcs.
|
||||
- RISCV tool chain (based on gcc version 7.3 or higher) must be
|
||||
- RISCV tool chain (based on gcc version 8.3 or higher) must be
|
||||
installed so that it can be used to prepare RISCV binaries to run.
|
||||
- Sbt **(1.3.13 or later)** must be installed on the system.
|
||||
|
||||
|
@ -63,7 +67,7 @@ installed so that it can be used to prepare RISCV binaries to run.
|
|||
4. Run make with $RV_ROOT/tools/Makefile
|
||||
|
||||
## Release Notes for this version
|
||||
Please see [release notes](release-notes.md) for changes and bug fixes in this version of Quasar.
|
||||
Please see [release-notes](release-notes.md) for changes and bug fixes in this version of Quasar.
|
||||
|
||||
### Configurations
|
||||
|
||||
|
@ -95,7 +99,8 @@ $RV_ROOT/design/snapshots/default
|
|||
├── pd_defines.vh # `defines for physical design
|
||||
├── perl_configs.pl # Perl %configs hash for scripting
|
||||
├── pic_map_auto.h # PIC memory map based on configure size
|
||||
└── whisper.json # JSON file for swerv-iss
|
||||
├── whisper.json # JSON file for swerv-iss
|
||||
└── link.ld # default linker control file
|
||||
```
|
||||
#### 1. Generate scala parameter
|
||||
```
|
||||
|
@ -138,7 +143,7 @@ Snapshots are placed in `$BUILD_PATH` directory.
|
|||
```
|
||||
make -f $RV_ROOT/tools/Makefile sbt_
|
||||
```
|
||||
This command will generate the Quasar wrapper in system verilog in the `generated_rtl` directory and runs the `reset_script.py`
|
||||
This command will generate the Quasar wrapper in system verilog of Quasar chisel, in the `generated_rtl` directory and runs the `reset_script.py`
|
||||
* In the reset_script we do a post verilog-generation changes, these changes are as follows:
|
||||
|
||||
* Replace `posedge reset` with `negedge reset`
|
||||
|
@ -157,7 +162,7 @@ The simulation produces output on the screen like:
|
|||
VerilatorTB: Start of sim
|
||||
|
||||
----------------------------------
|
||||
Hello World from Quasar @LM !!
|
||||
Hello World from QUASAR @LMDC !!
|
||||
----------------------------------
|
||||
TEST_PASSED
|
||||
|
||||
|
@ -180,21 +185,7 @@ You can re-execute simulation using:
|
|||
```
|
||||
make -f $RV_ROOT/tools/Makefile verilator
|
||||
```
|
||||
The simulation run/build command has following generic form:
|
||||
```
|
||||
make -f $RV_ROOT/tools/Makefile [<simulator>] [debug=1] [snapshot=mybuild] [target=<target>] [TEST=<test>] [TEST_DIR=<path_to_test_dir>]
|
||||
```
|
||||
where:
|
||||
```
|
||||
<simulator> - can be 'verilator' (by default) , 'vcs' - Synopsys VCS. if not provided, 'make' cleans work directory, builds verilator executable and runs a test.
|
||||
debug=1 - allows VCD generation for verilator and VCS and SHM waves for irun option.
|
||||
<target> - predefined CPU configurations 'default' ( by default), 'default_ahb', 'typical_pd', 'high_perf'.
|
||||
TEST - allows to run a C (<test>.c) or assembly (<test>.s) test, hello_world is run by default.
|
||||
TEST_DIR - alternative to test source directory testbench/asm or testbench/tests.
|
||||
<snapshot> - run and build executable model of custom CPU configuration, remember to provide 'snapshot' argument for runs on custom configurations.
|
||||
CONF_PARAMS - allows to provide -set options to quasar.conf script to alter predefined targets parameters.
|
||||
```
|
||||
#### Default for VCS/Verilotor
|
||||
#### 5. Default for VCS/Verilotor
|
||||
If you want to run default configuration on verilator use the following command
|
||||
```
|
||||
make -f $RV_ROOT/tools/Makefile
|
||||
|
@ -203,6 +194,21 @@ For VCS use
|
|||
```
|
||||
make -f $RV_ROOT/tools/Makefile vcs_all
|
||||
```
|
||||
|
||||
The simulation run/build command has following generic form:
|
||||
```
|
||||
make -f $RV_ROOT/tools/Makefile [<simulator>] [debug=1] [snapshot=mybuild] [target=<target>] [TEST=<test>] [TEST_DIR=<path_to_test_dir>]
|
||||
```
|
||||
where:
|
||||
```
|
||||
<simulator> - can be 'verilator' (by default) , 'vcs' - Synopsys VCS, 'riviera'- Aldec Riviera-PRO. If not provided, 'make' cleans work directory, builds verilator executable and runs a test.
|
||||
debug=1 - allows VCD generation for verilator and VCS and SHM waves for irun option.
|
||||
<target> - predefined CPU configurations 'default' ( by default), 'default_ahb', 'typical_pd', 'high_perf'.
|
||||
TEST - allows to run a C (<test>.c) or assembly (<test>.s) test, hello_world is run by default.
|
||||
TEST_DIR - alternative to test source directory testbench/asm or testbench/tests.
|
||||
<snapshot> - run and build executable model of custom CPU configuration, remember to provide 'snapshot' argument for runs on custom configurations.
|
||||
CONF_PARAMS - allows to provide -set options to quasar.conf script to alter predefined targets parameters.
|
||||
```
|
||||
Example:
|
||||
```
|
||||
make -f $RV_ROOT/tools/Makefile verilator TEST=cmark
|
||||
|
@ -214,7 +220,6 @@ If you want to compile a test only, you can run:
|
|||
```
|
||||
make -f $RV_ROOT/tools/Makefile program.hex TEST=<test> [TEST_DIR=/path/to/dir]
|
||||
```
|
||||
|
||||
The Makefile uses `snapshot/<target>/link.ld` file, generated by quasar.conf script by default to build test executable. User can provide test specific linker file in form `<test_name>.ld` to build the test executable, in the same directory with the test source.
|
||||
|
||||
User also can create a test specific makefile in form `<test_name>.makefile`, containing building instructions how to create `program.hex` file used by simulation. The private makefile should be in the same directory as the test source. See examples in `testbench/asm` directory.
|
||||
|
@ -229,15 +234,27 @@ Note: You may need to delete `program.hex` file from work directory, when run a
|
|||
|
||||
The `$RV_ROOT/testbench/asm` directory contains following tests ready to simulate:
|
||||
```
|
||||
hello_world - default tes to run, prints Hello World message to screen and console.log
|
||||
hello_world - default test program to run, prints Hello World message to screen and console.log
|
||||
hello_world_dccm - the same as above, but takes the string from preloaded DCCM.
|
||||
hello_world_iccm - the same as hello_world, but loads the test code to ICCM via LSU to DMA bridge and then executes
|
||||
it from there. Runs on QUASAR with AXI4 buses only.
|
||||
hello_world_iccm - the same as hello_world, but loads the test code to ICCM via LSU to DMA bridge and then executes it from there. Runs on QUASAR with AXI4 buses only.
|
||||
cmark - coremark benchmark running with code and data in external memories
|
||||
cmark_dccm - the same as above, running data and stack from DCCM (faster)
|
||||
cmark_iccm - the same as above with preloaded code to ICCM.
|
||||
cmark_iccm - the same as above with preloaded code to ICCM.
|
||||
|
||||
dhry - Run dhrystone. (Scale by 1757 to get DMIPS/MHZ)
|
||||
```
|
||||
|
||||
The `$RV_ROOT/testbench/hex` directory contains precompiled hex files of the tests, ready for simulation in case RISCV SW tools are not installed.
|
||||
|
||||
#### 6. Logical Equivalence Checking of Quasar
|
||||
If you want to perform LEC on quasar, use the following command
|
||||
```
|
||||
make -f $RV_ROOT/tools/Makefile lec
|
||||
```
|
||||
This command will call the LEC Makefile to clone Quasar along with the SweRV-EL2 and run `sbt` for chisel-generated RTL. Then, this will take file for user-match the ports, blockbox pins, latches, flops and perform the LEC of Quasar.
|
||||
Following log files are created in `$RV_ROOT/verif/LEC/formality_work/formality_log` :
|
||||
`fm_shell_command.log` gives the detail of instructions
|
||||
`formality.log` gives the detail of undriven nets
|
||||
|
||||
**Note**: The testbench has a simple synthesizable bridge that allows you to load the ICCM via load/store instructions. This is only supported for AXI4 builds.
|
||||
|
||||
|
|
|
@ -1,6 +0,0 @@
|
|||
# Quasar RISC-V Core 1.0 from Lampro Mellon
|
||||
|
||||
## Release Notes
|
||||
~~~
|
||||
Initial release DATE
|
||||
~~~
|
|
@ -41,3 +41,4 @@ high_perf | Large BTB/BHT, AXI4 interface
|
|||
`quasar.config` may be edited to add additional target configurations, or new configurations may be created via the command line `-set` or `-unset` options.
|
||||
|
||||
**Run `$RV_ROOT/configs/quasar.config -h` for options and settable parameters.**
|
||||
|
||||
|
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,3 @@
|
|||
build/
|
||||
obj_dir/
|
||||
gen/
|
|
@ -0,0 +1,89 @@
|
|||
export RV_ROOT = ${PWD}/..
|
||||
GCC_PREFIX = /opt/riscv/bin/riscv32-unknown-elf
|
||||
GDB_PREFIX = /opt/riscv/bin/riscv32-unknown-elf-gdb
|
||||
|
||||
ABI = -mabi=ilp32 -march=rv32imc
|
||||
|
||||
DEMODIR = ${PWD}
|
||||
BUILD_DIR = ${DEMODIR}/build
|
||||
DEFINE_DIR = ${DEMODIR}/../design/snapshots/default
|
||||
|
||||
RV_SOC = ${RV_ROOT}/soc
|
||||
|
||||
TEST = jtag
|
||||
|
||||
ifdef debug
|
||||
DEBUG_PLUS = +dumpon
|
||||
VERILATOR_DEBUG = --trace
|
||||
endif
|
||||
|
||||
LINK = $(DEMODIR)/link.ld
|
||||
LINKPRO = $(DEMODIR)/link_pro.ld
|
||||
|
||||
# CFLAGS for verilator generated Makefiles. Without -std=c++11 it complains for `auto` variables
|
||||
CFLAGS += "-std=c++11"
|
||||
# Optimization for better performance; alternative is nothing for slower runtime (faster compiles)
|
||||
# -O2 for faster runtime (slower compiles), or -O for balance.
|
||||
VERILATOR_MAKE_FLAGS = OPT_FAST="-Os"
|
||||
|
||||
# Targets
|
||||
all: clean verilator
|
||||
|
||||
clean:
|
||||
rm -rf build obj_dir
|
||||
|
||||
##################### Verilog Builds #####################################
|
||||
|
||||
verilator-build:
|
||||
echo '`undef RV_ASSERT_ON' >> ${DEFINE_DIR}/common_defines.vh
|
||||
verilator --cc -CFLAGS ${CFLAGS} \
|
||||
$(DEFINE_DIR)/common_defines.vh \
|
||||
-I${DEFINE_DIR} \
|
||||
-Wno-WIDTH \
|
||||
-Wno-UNOPTFLAT \
|
||||
-Wno-LATCH \
|
||||
-F ${RV_SOC}/soc_top.mk \
|
||||
-F ${RV_SOC}/soc_sim.mk \
|
||||
$(RV_SOC)/soc_sim.sv \
|
||||
--top-module soc_sim -exe test_soc_sim.cpp --autoflush $(VERILATOR_DEBUG)
|
||||
cp ${DEMODIR}/test_soc_sim.cpp obj_dir
|
||||
$(MAKE) -j -e -C obj_dir/ -f Vsoc_sim.mk $(VERILATOR_MAKE_FLAGS)
|
||||
|
||||
##################### Simulation Runs #####################################
|
||||
|
||||
verilator: program.hex verilator-build
|
||||
cd build && ../obj_dir/Vsoc_sim ${DEBUG_PLUS}
|
||||
|
||||
sim:
|
||||
cd build && ../obj_dir/Vsoc_sim ${DEBUG_PLUS}
|
||||
|
||||
##################### Test hex Build #####################################
|
||||
|
||||
program.hex: $(TEST).o $(LINK)
|
||||
@echo Building $(TEST)
|
||||
$(GCC_PREFIX)-gcc $(ABI) -Wl,-Map=$(BUILD_DIR)/$(TEST).map -lgcc -T$(LINKPRO) -o $(BUILD_DIR)/$(TEST).bin $(BUILD_DIR)/$(TEST).o -nostartfiles $(TEST_LIBS)
|
||||
$(GCC_PREFIX)-objcopy -O verilog $(BUILD_DIR)/$(TEST).bin $(BUILD_DIR)/program.hex
|
||||
$(GCC_PREFIX)-gcc $(ABI) -Wl,-Map=$(BUILD_DIR)/$(TEST).map -lgcc -T$(LINK) -o $(BUILD_DIR)/$(TEST).bin $(BUILD_DIR)/$(TEST).o -nostartfiles $(TEST_LIBS)
|
||||
$(GCC_PREFIX)-objdump -S $(BUILD_DIR)/$(TEST).bin > $(BUILD_DIR)/$(TEST).dis
|
||||
@echo Completed building $(TEST)
|
||||
|
||||
%.o : %.s
|
||||
@mkdir -p $(BUILD_DIR)
|
||||
$(GCC_PREFIX)-cpp -g -I${DEFINE_DIR} $< > $(BUILD_DIR)/$*.cpp.s
|
||||
$(GCC_PREFIX)-as -g $(ABI) $(BUILD_DIR)/$*.cpp.s -o $(BUILD_DIR)/$@
|
||||
|
||||
##################### openocd #####################################
|
||||
|
||||
openocd:
|
||||
openocd -f swerv.cfg
|
||||
|
||||
jlink:
|
||||
openocd -f jlink.cfg
|
||||
|
||||
gdb:
|
||||
$(GDB_PREFIX) -x gdbinit ./build/jtag.bin
|
||||
|
||||
help:
|
||||
@echo Possible targets: verilator help clean all verilator-build program.hex
|
||||
|
||||
.PHONY: help clean verilator
|
|
@ -0,0 +1,29 @@
|
|||
# jtag simulation
|
||||
|
||||
## gen SweRV module from scala
|
||||
|
||||
```bash
|
||||
cd Quasar
|
||||
export RV_ROOT=${PWD}
|
||||
cd tools
|
||||
make clean
|
||||
make conf
|
||||
make -f $RV_ROOT/tools/Makefile sbt_
|
||||
# Quasar/generated_rtl/quasar_wrapper.sv
|
||||
```
|
||||
|
||||
## start openocd
|
||||
|
||||
`openocd -d -f swerv.cfg`
|
||||
|
||||
## start gdb
|
||||
|
||||
`/opt/riscv/bin/riscv32-unknown-elf-gdb -ex "target extended-remote :3333"`
|
||||
|
||||
## quick start
|
||||
|
||||
At demo/jtag/
|
||||
|
||||
1. `make all`
|
||||
2. `make openocd`
|
||||
3. `make gdb`
|
|
@ -0,0 +1,3 @@
|
|||
# set debug remote 1
|
||||
target extended-remote :3333
|
||||
set remotetimeout 2000
|
|
@ -0,0 +1,45 @@
|
|||
#
|
||||
# SEGGER J-Link
|
||||
#
|
||||
# http://www.segger.com/jlink.html
|
||||
#
|
||||
|
||||
adapter driver jlink
|
||||
transport select jtag
|
||||
adapter speed 200
|
||||
|
||||
|
||||
# Target configuration for the riscv chip
|
||||
|
||||
set _CHIPNAME riscv
|
||||
set _TARGETNAME $_CHIPNAME.cpu
|
||||
|
||||
jtag newtap $_CHIPNAME tap -irlen 5 -expected-id 0x1000008b
|
||||
set _TARGETNAME $_CHIPNAME.tap
|
||||
target create $_TARGETNAME riscv -chain-position $_TARGETNAME
|
||||
|
||||
# Configure work area in on-chip SRAM
|
||||
# $_TARGETNAME configure -work-area-phys 0x1000e000 -work-area-size 1000 -work-area-backup 0
|
||||
|
||||
riscv expose_csrs 1988
|
||||
|
||||
# Be verbose about GDB errors
|
||||
gdb_report_data_abort enable
|
||||
gdb_report_register_access_error enable
|
||||
|
||||
# Increase timeouts in simulation
|
||||
riscv set_command_timeout_sec 1200
|
||||
|
||||
# Conclude OpenOCD configuration
|
||||
init
|
||||
|
||||
# Halt the target
|
||||
halt
|
||||
|
||||
|
||||
# The serial number can be used to select a specific device in case more than
|
||||
# one is connected to the host.
|
||||
#
|
||||
# Example: Select J-Link with serial number 123456789
|
||||
#
|
||||
# adapter serial 123456789
|
|
@ -1,4 +1,5 @@
|
|||
// SPDX-License-Identifier: Apache-2.0
|
||||
// Copyright 2019 Western Digital Corporation or its affiliates.
|
||||
//
|
||||
// Licensed under the Apache License, Version 2.0 (the "License");
|
||||
// you may not use this file except in compliance with the License.
|
||||
|
@ -52,7 +53,19 @@ loop:
|
|||
addi x4, x4, 1
|
||||
bnez x5, loop
|
||||
|
||||
// Write 0xff to STDOUT for TB to termiate test.
|
||||
li x3, STDOUT
|
||||
la x4, hw_data
|
||||
|
||||
loop2:
|
||||
lb x5, 0(x4)
|
||||
sb x5, 0(x3)
|
||||
addi x4, x4, 1
|
||||
bnez x5, loop2
|
||||
|
||||
loop3:
|
||||
beq x0, x0, loop3
|
||||
|
||||
// Write 0xff to STDOUT for TB to terminate test.
|
||||
_finish:
|
||||
li x3, STDOUT
|
||||
addi x5, x0, 0xff
|
||||
|
@ -62,9 +75,10 @@ _finish:
|
|||
nop
|
||||
.endr
|
||||
|
||||
.global hw_data
|
||||
.data
|
||||
hw_data:
|
||||
.ascii "----------------------------------\n"
|
||||
.ascii "Hello World from Quasar @LM !! \n"
|
||||
.ascii "Hello World Colin.liang EL2@WDC !!\n"
|
||||
.ascii "----------------------------------\n"
|
||||
.byte 0
|
|
@ -0,0 +1,16 @@
|
|||
|
||||
OUTPUT_ARCH( "riscv" )
|
||||
ENTRY(_start)
|
||||
|
||||
SECTIONS
|
||||
{
|
||||
. = 0x80000000;
|
||||
.text_init : { *(.text_init*) }
|
||||
.text : { *(.text*) }
|
||||
_end = .;
|
||||
. = 0xf0040000;
|
||||
.data : ALIGN(0x800) { *(.*data) *(.rodata*) STACK = ALIGN(16) + 0x8000; }
|
||||
.bss : { *(.bss) }
|
||||
. = 0xd0580000;
|
||||
.data.io : { *(.data.io) }
|
||||
}
|
|
@ -0,0 +1,16 @@
|
|||
|
||||
OUTPUT_ARCH( "riscv" )
|
||||
ENTRY(_start)
|
||||
|
||||
SECTIONS
|
||||
{
|
||||
. = 0x0000;
|
||||
.text_init : { *(.text_init*) }
|
||||
.text : { *(.text*) }
|
||||
_end = .;
|
||||
. = 0x800;
|
||||
.data : ALIGN(0x800) { *(.*data) *(.rodata*) STACK = ALIGN(16) + 0x8000; }
|
||||
.bss : { *(.bss) }
|
||||
. = 0xd0580000;
|
||||
.data.io : { *(.data.io) }
|
||||
}
|
|
@ -0,0 +1,33 @@
|
|||
LOCATE COMP "clk" SITE "P3";
|
||||
IOBUF PORT "clk" IO_TYPE=LVCMOS33;
|
||||
FREQUENCY PORT "clk" 25 MHZ;
|
||||
|
||||
LOCATE COMP "dbg_rst" SITE "N2";
|
||||
IOBUF PORT "dbg_rst" IO_TYPE=LVCMOS33;
|
||||
FREQUENCY PORT "dbg_rst" 25 MHZ;
|
||||
|
||||
LOCATE COMP "rst" SITE "N3";
|
||||
IOBUF PORT "rst" IO_TYPE=LVCMOS33;
|
||||
FREQUENCY PORT "rst" 25 MHZ;
|
||||
|
||||
LOCATE COMP "jtag_tck" SITE "T2";
|
||||
IOBUF PORT "jtag_tck" IO_TYPE=LVCMOS33;
|
||||
FREQUENCY PORT "jtag_tck" 25 MHZ;
|
||||
|
||||
LOCATE COMP "jtag_tms" SITE "T3";
|
||||
IOBUF PORT "jtag_tms" IO_TYPE=LVCMOS33;
|
||||
FREQUENCY PORT "jtag_tms" 25 MHZ;
|
||||
|
||||
LOCATE COMP "jtag_tdi" SITE "N4";
|
||||
IOBUF PORT "jtag_tdi" IO_TYPE=LVCMOS33;
|
||||
FREQUENCY PORT "jtag_tdi" 25 MHZ;
|
||||
|
||||
LOCATE COMP "jtag_trst_n" SITE "M3";
|
||||
IOBUF PORT "jtag_trst_n" IO_TYPE=LVCMOS33;
|
||||
FREQUENCY PORT "jtag_trst_n" 25 MHZ;
|
||||
|
||||
LOCATE COMP "jtag_tdo" SITE "M4";
|
||||
IOBUF PORT "jtag_tdo" IO_TYPE=LVCMOS33;
|
||||
|
||||
LOCATE COMP "clk_o" SITE "A3";
|
||||
IOBUF PORT "clk_o" IO_TYPE=LVCMOS33;
|
|
@ -0,0 +1,34 @@
|
|||
# "JTAG adapter" for simulation, exposed to OpenOCD through a TCP socket
|
||||
# speaking the remote_bitbang protocol. The adapter is implemented as
|
||||
# SystemVerilog DPI module.
|
||||
|
||||
adapter driver remote_bitbang
|
||||
remote_bitbang host localhost
|
||||
remote_bitbang port 44853
|
||||
|
||||
# Target configuration for the riscv chip
|
||||
|
||||
set _CHIPNAME riscv
|
||||
set _TARGETNAME $_CHIPNAME.cpu
|
||||
|
||||
jtag newtap $_CHIPNAME tap -irlen 5 -expected-id 0x1000008b
|
||||
set _TARGETNAME $_CHIPNAME.tap
|
||||
target create $_TARGETNAME riscv -chain-position $_TARGETNAME
|
||||
|
||||
# Configure work area in on-chip SRAM
|
||||
# $_TARGETNAME configure -work-area-phys 0x1000e000 -work-area-size 1000 -work-area-backup 0
|
||||
|
||||
riscv expose_csrs 1988
|
||||
|
||||
# Be verbose about GDB errors
|
||||
gdb_report_data_abort enable
|
||||
gdb_report_register_access_error enable
|
||||
|
||||
# Increase timeouts in simulation
|
||||
riscv set_command_timeout_sec 1200
|
||||
|
||||
# Conclude OpenOCD configuration
|
||||
init
|
||||
|
||||
# Halt the target
|
||||
halt
|
|
@ -0,0 +1,61 @@
|
|||
#!/bin/bash
|
||||
set -ex
|
||||
|
||||
PWD=$(pwd)
|
||||
|
||||
SOC=$PWD/../soc/
|
||||
SOCFILE=../soc/soc_top.mk
|
||||
|
||||
DEFINE_DIR=$PWD/../design/snapshots/default
|
||||
DEFINE="${DEFINE_DIR}/pd_defines.vh"
|
||||
|
||||
|
||||
mkdir -p gen
|
||||
rm -rf gen/*
|
||||
mkdir gen/design
|
||||
|
||||
YOSYS_COARSE=true
|
||||
YOSYS_GLOBRST=false
|
||||
YOSYS_SPLITNETS=false
|
||||
TOP="soc_top"
|
||||
|
||||
RTL_FILES="$DEFINE $(cat $SOCFILE | sed 's/[[:space:]]//g' | sed '/^$/d' | sed -e "s!^!$SOC!" | tr '\n' ' ')"
|
||||
|
||||
sv2v -I${DEFINE_DIR} $RTL_FILES > gen/soc_top.v
|
||||
|
||||
{
|
||||
echo "read_verilog gen/soc_top.v"
|
||||
|
||||
if test -n "$TOP"; then
|
||||
echo "hierarchy -check -top $TOP"
|
||||
else
|
||||
echo "hierarchy -check"
|
||||
fi
|
||||
if $YOSYS_GLOBRST; then
|
||||
# insertation of global reset (e.g. for FPGA cores)
|
||||
echo "add -global_input globrst 1"
|
||||
echo "proc -global_arst globrst"
|
||||
fi
|
||||
echo "synth -run coarse; opt -fine"
|
||||
# echo "tee -o gen/brams.log memory_bram -rules scripts/brams.txt;;"
|
||||
if ! $YOSYS_COARSE; then
|
||||
echo "memory_map; techmap; opt; abc -dff; clean"
|
||||
fi
|
||||
if $YOSYS_SPLITNETS; then
|
||||
# icarus verilog has a performance problems when there are
|
||||
# dependencies between the bits of a long vector
|
||||
echo "splitnets; clean"
|
||||
fi
|
||||
if $YOSYS_COARSE; then
|
||||
echo "write_verilog -noexpr -noattr gen/synth.v"
|
||||
else
|
||||
echo "select -assert-none t:\$[!_]"
|
||||
echo "write_verilog -noattr gen/synth.v"
|
||||
fi
|
||||
echo "synth_ecp5 -top $TOP -json gen/soc.json"
|
||||
# echo "synth_xilinx -top $TOP"
|
||||
} > gen/synth.ys
|
||||
|
||||
yosys -v2 -l gen/synth.log gen/synth.ys
|
||||
|
||||
nextpnr-ecp5 --25k --package CABGA381 --speed 6 --textcfg soc.cfg --lpf soc.lpf --freq 1 --json gen/soc.json
|
|
@ -0,0 +1,65 @@
|
|||
// SPDX-License-Identifier: Apache-2.0
|
||||
// Copyright 2019 Western Digital Corporation or its affiliates.
|
||||
//
|
||||
// Licensed under the Apache License, Version 2.0 (the "License");
|
||||
// you may not use this file except in compliance with the License.
|
||||
// You may obtain a copy of the License at
|
||||
//
|
||||
// http://www.apache.org/licenses/LICENSE-2.0
|
||||
//
|
||||
// Unless required by applicable law or agreed to in writing, software
|
||||
// distributed under the License is distributed on an "AS IS" BASIS,
|
||||
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
|
||||
// See the License for the specific language governing permissions and
|
||||
// limitations under the License.
|
||||
//
|
||||
#include <stdlib.h>
|
||||
#include <iostream>
|
||||
#include <utility>
|
||||
#include <string>
|
||||
#include "Vsoc_sim.h"
|
||||
#include "verilated.h"
|
||||
#include "verilated_vcd_c.h"
|
||||
|
||||
|
||||
vluint64_t main_time = 0;
|
||||
|
||||
double sc_time_stamp () {
|
||||
return main_time;
|
||||
}
|
||||
|
||||
|
||||
int main(int argc, char** argv) {
|
||||
std::cout << "\nVerilatorTB: Start of sim\n" << std::endl;
|
||||
|
||||
Verilated::commandArgs(argc, argv);
|
||||
|
||||
Vsoc_sim* soc = new Vsoc_sim;
|
||||
|
||||
// init trace dump
|
||||
VerilatedVcdC* tfp = NULL;
|
||||
|
||||
#if VM_TRACE
|
||||
Verilated::traceEverOn(true);
|
||||
tfp = new VerilatedVcdC;
|
||||
soc->trace (tfp, 24);
|
||||
tfp->open ("sim.vcd");
|
||||
#endif
|
||||
// Simulate
|
||||
while(!Verilated::gotFinish()){
|
||||
#if VM_TRACE
|
||||
tfp->dump (main_time);
|
||||
#endif
|
||||
main_time += 5;
|
||||
soc->core_clk = !soc->core_clk;
|
||||
soc->eval();
|
||||
}
|
||||
|
||||
#if VM_TRACE
|
||||
tfp->close();
|
||||
#endif
|
||||
|
||||
std::cout << "\nVerilatorTB: End of sim" << std::endl;
|
||||
exit(EXIT_SUCCESS);
|
||||
|
||||
}
|
|
@ -1,3 +0,0 @@
|
|||
# Default ignored files
|
||||
/shelf/
|
||||
/workspace.xml
|
|
@ -1 +0,0 @@
|
|||
chisel-module-template
|
|
@ -1,7 +0,0 @@
|
|||
<component name="ProjectCodeStyleConfiguration">
|
||||
<code_scheme name="Project" version="173">
|
||||
<ScalaCodeStyleSettings>
|
||||
<option name="MULTILINE_STRING_CLOSING_QUOTES_ON_NEW_LINE" value="true" />
|
||||
</ScalaCodeStyleSettings>
|
||||
</code_scheme>
|
||||
</component>
|
|
@ -1,5 +0,0 @@
|
|||
<component name="ProjectCodeStyleConfiguration">
|
||||
<state>
|
||||
<option name="PREFERRED_PROJECT_CODE_STYLE" value="Default" />
|
||||
</state>
|
||||
</component>
|
|
@ -1,8 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<project version="4">
|
||||
<component name="CompilerConfiguration">
|
||||
<bytecodeTargetLevel target="1.8">
|
||||
<module name="chisel-module-template" target="1.8" />
|
||||
</bytecodeTargetLevel>
|
||||
</component>
|
||||
</project>
|
|
@ -1,358 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<project version="4">
|
||||
<component name="SVCompilerDirectivesDefines">
|
||||
<option name="defines">
|
||||
<map>
|
||||
<entry key="$PROJECT_DIR$/el2_dec.v">
|
||||
<value>
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="$PROJECT_DIR$/el2_dec_decode_ctl.v">
|
||||
<value>
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="$PROJECT_DIR$/el2_dec_gpr_ctl.v">
|
||||
<value>
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="$PROJECT_DIR$/el2_dec_tlu_ctl.v">
|
||||
<value>
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="$PROJECT_DIR$/el2_exu_alu_ctl.v">
|
||||
<value>
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="$PROJECT_DIR$/el2_pic_ctrl.v">
|
||||
<value>
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="$PROJECT_DIR$/top.v">
|
||||
<value>
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<list>
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
<Body />
|
||||
</list>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</option>
|
||||
<option name="dependencies">
|
||||
<map>
|
||||
<entry key="FIRRTL_AFTER_INITIAL">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="FIRRTL_BEFORE_INITIAL">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="INIT_RANDOM">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE_DELAY">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE_GARBAGE_ASSIGN">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE_INVALID_ASSIGN">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE_MEM_INIT">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE_REG_INIT">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="SYNTHESIS">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="VERILATOR">
|
||||
<value>
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$/el2_dec.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_decode_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_gpr_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_dec_tlu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_exu_alu_ctl.v" />
|
||||
<option value="$PROJECT_DIR$/el2_pic_ctrl.v" />
|
||||
<option value="$PROJECT_DIR$/src/main/resources/vsrc/el2_ifu_iccm_mem.sv" />
|
||||
<option value="$PROJECT_DIR$/src/main/resources/vsrc/el2_lsu_dccm_mem.sv" />
|
||||
<option value="$PROJECT_DIR$/top.v" />
|
||||
</set>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</option>
|
||||
<option name="status" value="NORMAL" />
|
||||
<option name="version" value="640" />
|
||||
</component>
|
||||
</project>
|
|
@ -1,6 +0,0 @@
|
|||
<component name="InspectionProjectProfileManager">
|
||||
<profile version="1.0">
|
||||
<option name="myName" value="Project Default" />
|
||||
<inspection_tool class="ScalaStyle" enabled="false" level="WARNING" enabled_by_default="false" />
|
||||
</profile>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: com.github.nscala-time:nscala-time_2.12:2.22.0:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: com.github.scopt:scopt_2.12:3.7.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: com.google.protobuf:protobuf-java:3.9.0:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: com.lihaoyi:utest_2.12:0.6.6:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: com.thoughtworks.paranamer:paranamer:2.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:chisel3_2.12:3.3.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:chisel3-core_2.12:3.3.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:chisel3-macros_2.12:3.3.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:chisel-iotesters_2.12:1.4.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:chiseltest_2.12:0.2.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:firrtl_2.12:1.3.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:firrtl-interpreter_2.12:1.3.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: edu.berkeley.cs:treadle_2.12:1.2.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: joda-time:joda-time:2.10.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: junit:junit:4.13:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: net.jcazevedo:moultingyaml_2.12:0.4.2:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.antlr:antlr4-runtime:4.7.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.apache.commons:commons-lang3:3.9:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.apache.commons:commons-text:1.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.fusesource.jansi:jansi:1.11:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.hamcrest:hamcrest-core:1.3:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.joda:joda-convert:2.2.0:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.json4s:json4s-ast_2.12:3.6.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.json4s:json4s-core_2.12:3.6.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.json4s:json4s-native_2.12:3.6.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.json4s:json4s-scalap_2.12:3.6.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.portable-scala:portable-scala-reflect_2.12:0.1.0:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scala-lang.modules:scala-jline:2.12.1:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scala-lang.modules:scala-xml_2.12:1.2.0:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,25 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scala-lang:scala-library:2.12.10:jar" type="Scala">
|
||||
<properties>
|
||||
<compiler-classpath>
|
||||
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jansi.jar" />
|
||||
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jline.jar" />
|
||||
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar" />
|
||||
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-library.jar" />
|
||||
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar" />
|
||||
<root url="file://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-xml_2.12.jar" />
|
||||
</compiler-classpath>
|
||||
</properties>
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-library.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,15 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scala-lang:scala-reflect:2.12.10:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scala-sbt:test-interface:1.0:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scalacheck:scalacheck_2.12:1.14.3:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scalactic:scalactic_2.12:3.0.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.scalatest:scalatest_2.12:3.0.8:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,16 +0,0 @@
|
|||
<component name="libraryTable">
|
||||
<library name="sbt: org.yaml:snakeyaml:1.26:jar">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-javadoc.jar!/" />
|
||||
</JAVADOC>
|
||||
<SOURCES>
|
||||
<root url="jar://$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar!/" />
|
||||
<root url="jar://$USER_HOME$/AppData/Local/Coursier/cache/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26-sources.jar!/" />
|
||||
</SOURCES>
|
||||
</library>
|
||||
</component>
|
|
@ -1,894 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<project version="4">
|
||||
<component name="ProjectRootManager" version="2" languageLevel="JDK_1_8" default="false" project-jdk-name="11" project-jdk-type="JavaSDK" />
|
||||
<component name="SVCompilerDirectivesDefines">
|
||||
<option name="define">
|
||||
<map>
|
||||
<entry key="RANDOM">
|
||||
<value>
|
||||
<Define>
|
||||
<option name="definitions">
|
||||
<list>
|
||||
<Body>
|
||||
<option name="offset" value="153357" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="248582" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="266739" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="418463" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="619760" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="17721" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_exu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="152503" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec_decode_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="9366" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec_tlu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="13807" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="axi4_to_ahb.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="159032" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_pic_ctrl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="477" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="top.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="67095" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_dec_gpr_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="17145" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_exu_alu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="10031" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_lsu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="411371" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="el2_ifu_mem_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="offset" value="149445" />
|
||||
<option name="replacementList" value="$random" />
|
||||
<option name="source" value="dec.v" />
|
||||
</Body>
|
||||
</list>
|
||||
</option>
|
||||
<option name="dependencies">
|
||||
<set>
|
||||
<option value="el2_exu.v" />
|
||||
<option value="el2_dec_decode_ctl.v" />
|
||||
<option value="el2_dec_tlu_ctl.v" />
|
||||
<option value="axi4_to_ahb.v" />
|
||||
<option value="el2_pic_ctrl.v" />
|
||||
<option value="top.v" />
|
||||
<option value="el2_dec_gpr_ctl.v" />
|
||||
<option value="el2_exu_alu_ctl.v" />
|
||||
<option value="el2_lsu.v" />
|
||||
<option value="el2_ifu_mem_ctl.v" />
|
||||
<option value="dec.v" />
|
||||
</set>
|
||||
</option>
|
||||
</Define>
|
||||
</value>
|
||||
</entry>
|
||||
<entry key="RANDOMIZE">
|
||||
<value>
|
||||
<Define>
|
||||
<option name="definitions">
|
||||
<list>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="153158" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="153215" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="153266" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="153317" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="248383" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="248440" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="248491" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="248542" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="266540" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="266597" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="266648" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="266699" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="418264" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="418321" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="418372" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="418423" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="619561" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="619618" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="619669" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="619720" />
|
||||
<option name="source" value="el2_dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="17522" />
|
||||
<option name="source" value="el2_exu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="17579" />
|
||||
<option name="source" value="el2_exu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="17630" />
|
||||
<option name="source" value="el2_exu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="17681" />
|
||||
<option name="source" value="el2_exu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="152304" />
|
||||
<option name="source" value="el2_dec_decode_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="152361" />
|
||||
<option name="source" value="el2_dec_decode_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="152412" />
|
||||
<option name="source" value="el2_dec_decode_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="152463" />
|
||||
<option name="source" value="el2_dec_decode_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9167" />
|
||||
<option name="source" value="el2_dec_tlu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9224" />
|
||||
<option name="source" value="el2_dec_tlu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9275" />
|
||||
<option name="source" value="el2_dec_tlu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9326" />
|
||||
<option name="source" value="el2_dec_tlu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="13608" />
|
||||
<option name="source" value="axi4_to_ahb.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="13665" />
|
||||
<option name="source" value="axi4_to_ahb.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="13716" />
|
||||
<option name="source" value="axi4_to_ahb.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="13767" />
|
||||
<option name="source" value="axi4_to_ahb.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="158833" />
|
||||
<option name="source" value="el2_pic_ctrl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="158890" />
|
||||
<option name="source" value="el2_pic_ctrl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="158941" />
|
||||
<option name="source" value="el2_pic_ctrl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="158992" />
|
||||
<option name="source" value="el2_pic_ctrl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="278" />
|
||||
<option name="source" value="top.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="335" />
|
||||
<option name="source" value="top.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="386" />
|
||||
<option name="source" value="top.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="437" />
|
||||
<option name="source" value="top.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="66896" />
|
||||
<option name="source" value="el2_dec_gpr_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="66953" />
|
||||
<option name="source" value="el2_dec_gpr_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="67004" />
|
||||
<option name="source" value="el2_dec_gpr_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="67055" />
|
||||
<option name="source" value="el2_dec_gpr_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="16946" />
|
||||
<option name="source" value="el2_exu_alu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="17003" />
|
||||
<option name="source" value="el2_exu_alu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="17054" />
|
||||
<option name="source" value="el2_exu_alu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="17105" />
|
||||
<option name="source" value="el2_exu_alu_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9832" />
|
||||
<option name="source" value="el2_lsu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9889" />
|
||||
<option name="source" value="el2_lsu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9940" />
|
||||
<option name="source" value="el2_lsu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="9991" />
|
||||
<option name="source" value="el2_lsu.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="411172" />
|
||||
<option name="source" value="el2_ifu_mem_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="411229" />
|
||||
<option name="source" value="el2_ifu_mem_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="411280" />
|
||||
<option name="source" value="el2_ifu_mem_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="411331" />
|
||||
<option name="source" value="el2_ifu_mem_ctl.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_GARBAGE_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="149246" />
|
||||
<option name="source" value="dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_INVALID_ASSIGN" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="149303" />
|
||||
<option name="source" value="dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_REG_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="149354" />
|
||||
<option name="source" value="dec.v" />
|
||||
</Body>
|
||||
<Body>
|
||||
<option name="inclusionDependencies">
|
||||
<list>
|
||||
<InclusionDependency>
|
||||
<option name="name" value="RANDOMIZE_MEM_INIT" />
|
||||
<option name="rule" value="DEFINED" />
|
||||
</InclusionDependency>
|
||||
</list>
|
||||
</option>
|
||||
<option name="offset" value="149405" />
|
||||
<option name="source" value="dec.v" />
|
||||
</Body>
|
||||
</list>
|
||||
</option>
|
||||
</Define>
|
||||
</value>
|
||||
</entry>
|
||||
</map>
|
||||
</option>
|
||||
<option name="version" value="195" />
|
||||
</component>
|
||||
</project>
|
|
@ -1,9 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<project version="4">
|
||||
<component name="ProjectModuleManager">
|
||||
<modules>
|
||||
<module fileurl="file://$PROJECT_DIR$/.idea/modules/chisel-module-template.iml" filepath="$PROJECT_DIR$/.idea/modules/chisel-module-template.iml" />
|
||||
<module fileurl="file://$PROJECT_DIR$/.idea/modules/chisel-module-template-build.iml" filepath="$PROJECT_DIR$/.idea/modules/chisel-module-template-build.iml" />
|
||||
</modules>
|
||||
</component>
|
||||
</project>
|
|
@ -1,114 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<module external.linked.project.id="chisel-module-template-build" external.linked.project.path="$MODULE_DIR$/../../project" external.root.project.path="$MODULE_DIR$/../.." external.system.id="SBT" sbt.imports="_root_.sbt.Keys._, _root_.sbt.ScriptedPlugin.autoImport._, _root_.sbt._, _root_.sbt.nio.Keys._, _root_.sbt.plugins.IvyPlugin, _root_.sbt.plugins.JvmPlugin, _root_.sbt.plugins.CorePlugin, _root_.sbt.ScriptedPlugin, _root_.sbt.plugins.SbtPlugin, _root_.sbt.plugins.SemanticdbPlugin, _root_.sbt.plugins.JUnitXmlReportPlugin, _root_.sbt.plugins.Giter8TemplatePlugin, _root_.scala.xml.{TopScope=&gt;SUB:DOLLARscope}" sbt.resolvers="https://oss.sonatype.org/content/repositories/snapshots|maven|sonatype-snapshots, https://repo1.maven.org/maven2/|maven|public, https://oss.sonatype.org/content/repositories/releases|maven|sonatype-releases, file:/home/waleedbinehsan/.sbt/preloaded|maven|local-preloaded, /home/waleedbinehsan/.ivy2/cache|ivy|Local cache" type="SBT_MODULE" version="4">
|
||||
<component name="NewModuleRootManager">
|
||||
<output url="file://$MODULE_DIR$/../../project/target/idea-classes" />
|
||||
<output-test url="file://$MODULE_DIR$/../../project/target/idea-test-classes" />
|
||||
<exclude-output />
|
||||
<content url="file://$MODULE_DIR$/../../project">
|
||||
<sourceFolder url="file://$MODULE_DIR$/../../project" isTestSource="false" />
|
||||
<excludeFolder url="file://$MODULE_DIR$/../../project/project/target" />
|
||||
<excludeFolder url="file://$MODULE_DIR$/../../project/target" />
|
||||
</content>
|
||||
<orderEntry type="inheritedJdk" />
|
||||
<orderEntry type="sourceFolder" forTests="false" />
|
||||
<orderEntry type="module-library">
|
||||
<library name="sbt: sbt-and-plugins">
|
||||
<CLASSES>
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jansi.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/jline.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-library.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/lib/scala-xml_2.12.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/actions_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/caffeine-2.5.6.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/collections_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/command_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/compiler-bridge_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/compiler-interface-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/completion_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/config-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/core-macros_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/disruptor-3.4.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/fastparse-utils_2.12-0.4.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/fastparse_2.12-0.4.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/file-tree-views-2.1.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/gigahorse-core_2.12-0.5.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/gigahorse-okhttp_2.12-0.5.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/io_2.12-1.3.4.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/ipcsocket-1.0.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jawn-parser_2.12-0.10.4.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jline-2.14.6.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jna-5.5.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jna-platform-5.5.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/jsch-0.1.54.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/launcher-interface-1.1.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/lenses_2.12-0.4.12.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/librarymanagement-core_2.12-1.3.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/librarymanagement-ivy_2.12-1.3.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/log4j-api-2.11.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/log4j-core-2.11.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/log4j-slf4j-impl-2.11.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/logic_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/main-settings_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/main_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/okhttp-3.14.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/okhttp-urlconnection-3.7.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/okio-1.17.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/protobuf-java-3.7.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/protocol_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/reactive-streams-1.0.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/run_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sbinary_2.12-0.5.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sbt-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scala-parser-combinators_2.12-1.1.2.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scala-reflect-2.12.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scala-xml_2.12-1.2.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scalacache-caffeine_2.12-0.20.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scalacache-core_2.12-0.20.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scalapb-runtime_2.12-0.6.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scripted-plugin_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/shaded-scalajson_2.12-1.0.0-M4.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sjson-new-core_2.12-0.8.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sjson-new-murmurhash_2.12-0.8.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sjson-new-scalajson_2.12-0.8.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/slf4j-api-1.7.26.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/sourcecode_2.12-0.1.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/ssl-config-core_2.12-0.4.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/task-system_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/tasks_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/template-resolver-0.1.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/test-agent-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/test-interface-1.0.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/testing_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-cache_2.12-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-control_2.12-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-interface-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-logging_2.12-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-position_2.12-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-relation_2.12-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-scripted_2.12-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/util-tracking_2.12-1.3.3.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-apiinfo_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-classfile_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-classpath_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-compile-core_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-compile_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-core_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-lm-integration_2.12-1.3.10.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc-persist_2.12-1.3.5.jar!/" />
|
||||
<root url="jar://$USER_HOME$/.sbt/boot/scala-2.12.10/org.scala-sbt/sbt/1.3.10/zinc_2.12-1.3.5.jar!/" />
|
||||
</CLASSES>
|
||||
<JAVADOC />
|
||||
<SOURCES />
|
||||
</library>
|
||||
</orderEntry>
|
||||
</component>
|
||||
<component name="SbtModule">
|
||||
<option name="buildForURI" value="file:$MODULE_DIR$/../../" />
|
||||
<option name="imports" value="_root_.sbt.Keys._, _root_.sbt.ScriptedPlugin.autoImport._, _root_.sbt._, _root_.sbt.nio.Keys._, _root_.sbt.plugins.IvyPlugin, _root_.sbt.plugins.JvmPlugin, _root_.sbt.plugins.CorePlugin, _root_.sbt.ScriptedPlugin, _root_.sbt.plugins.SbtPlugin, _root_.sbt.plugins.SemanticdbPlugin, _root_.sbt.plugins.JUnitXmlReportPlugin, _root_.sbt.plugins.Giter8TemplatePlugin, _root_.scala.xml.{TopScope=>SUB:DOLLARscope}" />
|
||||
</component>
|
||||
</module>
|
|
@ -1,52 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<module external.linked.project.id="design [file:/home/waleedbinehsan/Downloads/Quasar/design/]" external.linked.project.path="$MODULE_DIR$/../.." external.root.project.path="$MODULE_DIR$/../.." external.system.id="SBT" type="JAVA_MODULE" version="4">
|
||||
<component name="NewModuleRootManager" LANGUAGE_LEVEL="JDK_1_8">
|
||||
<output url="file://$MODULE_DIR$/../../target/scala-2.12/classes" />
|
||||
<output-test url="file://$MODULE_DIR$/../../target/scala-2.12/test-classes" />
|
||||
<exclude-output />
|
||||
<content url="file://$MODULE_DIR$/../..">
|
||||
<sourceFolder url="file://$MODULE_DIR$/../../src/main/scala" isTestSource="false" />
|
||||
<sourceFolder url="file://$MODULE_DIR$/../../src/test/scala" isTestSource="true" />
|
||||
<sourceFolder url="file://$MODULE_DIR$/../../src/main/resources" type="java-resource" />
|
||||
<excludeFolder url="file://$MODULE_DIR$/../../target" />
|
||||
</content>
|
||||
<orderEntry type="inheritedJdk" />
|
||||
<orderEntry type="sourceFolder" forTests="false" />
|
||||
<orderEntry type="library" name="sbt: com.github.nscala-time:nscala-time_2.12:2.22.0:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: com.github.scopt:scopt_2.12:3.7.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: com.google.protobuf:protobuf-java:3.9.0:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: com.lihaoyi:utest_2.12:0.6.6:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: com.thoughtworks.paranamer:paranamer:2.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel-iotesters_2.12:1.4.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel3-core_2.12:3.3.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel3-macros_2.12:3.3.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:chisel3_2.12:3.3.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:chiseltest_2.12:0.2.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:firrtl-interpreter_2.12:1.3.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:firrtl_2.12:1.3.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: edu.berkeley.cs:treadle_2.12:1.2.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: joda-time:joda-time:2.10.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: junit:junit:4.13:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: net.jcazevedo:moultingyaml_2.12:0.4.2:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.antlr:antlr4-runtime:4.7.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.apache.commons:commons-lang3:3.9:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.apache.commons:commons-text:1.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.fusesource.jansi:jansi:1.11:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.hamcrest:hamcrest-core:1.3:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.joda:joda-convert:2.2.0:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.json4s:json4s-ast_2.12:3.6.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.json4s:json4s-core_2.12:3.6.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.json4s:json4s-native_2.12:3.6.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.json4s:json4s-scalap_2.12:3.6.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.portable-scala:portable-scala-reflect_2.12:0.1.0:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scala-lang.modules:scala-jline:2.12.1:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scala-lang.modules:scala-xml_2.12:1.2.0:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scala-lang:scala-library:2.12.10:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scala-lang:scala-reflect:2.12.10:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scala-sbt:test-interface:1.0:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scalacheck:scalacheck_2.12:1.14.3:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scalactic:scalactic_2.12:3.0.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.scalatest:scalatest_2.12:3.0.8:jar" level="project" />
|
||||
<orderEntry type="library" name="sbt: org.yaml:snakeyaml:1.26:jar" level="project" />
|
||||
</component>
|
||||
</module>
|
|
@ -1,17 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<project version="4">
|
||||
<component name="ScalaSbtSettings">
|
||||
<option name="linkedExternalProjectsSettings">
|
||||
<SbtProjectSettings>
|
||||
<option name="externalProjectPath" value="$PROJECT_DIR$" />
|
||||
<option name="modules">
|
||||
<set>
|
||||
<option value="$PROJECT_DIR$" />
|
||||
<option value="$PROJECT_DIR$/project" />
|
||||
</set>
|
||||
</option>
|
||||
<option name="sbtVersion" value="1.3.10" />
|
||||
</SbtProjectSettings>
|
||||
</option>
|
||||
</component>
|
||||
</project>
|
|
@ -1,14 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<project version="4">
|
||||
<component name="ScalaCompilerConfiguration">
|
||||
<profile name="sbt 1" modules="swerv-chislified-master_3681" />
|
||||
<profile name="sbt 2" modules="chisel-module-template">
|
||||
<parameters>
|
||||
<parameter value="-Xsource:2.11" />
|
||||
</parameters>
|
||||
<plugins>
|
||||
<plugin path="$USER_HOME$/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar" />
|
||||
</plugins>
|
||||
</profile>
|
||||
</component>
|
||||
</project>
|
|
@ -1,7 +0,0 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<project version="4">
|
||||
<component name="VcsDirectoryMappings">
|
||||
<mapping directory="$PROJECT_DIR$/.." vcs="Git" />
|
||||
<mapping directory="$PROJECT_DIR$" vcs="Git" />
|
||||
</component>
|
||||
</project>
|
|
@ -1,56 +1,57 @@
|
|||
// See README.md for license details.
|
||||
// ThisBuild / scalaVersion := "2.13.8"
|
||||
// ThisBuild / version := "3.3.0"
|
||||
// ThisBuild / organization := "COLIN"
|
||||
|
||||
def scalacOptionsVersion(scalaVersion: String): Seq[String] = {
|
||||
Seq() ++ {
|
||||
// If we're building with Scala > 2.11, enable the compile option
|
||||
CrossVersion.partialVersion(scalaVersion) match {
|
||||
case Some((2, scalaMajor: Long)) if scalaMajor < 12 => Seq()
|
||||
case _ => Seq("-Xsource:2.11")
|
||||
}
|
||||
}
|
||||
}
|
||||
// mainClass in (Compile, run) := Some("QUASAR_Wrp")
|
||||
|
||||
def javacOptionsVersion(scalaVersion: String): Seq[String] = {
|
||||
Seq() ++ {
|
||||
// Scala 2.12 requires Java 8. We continue to generate
|
||||
// Java 7 compatible code for Scala 2.11
|
||||
// for compatibility with old clients.
|
||||
CrossVersion.partialVersion(scalaVersion) match {
|
||||
case Some((2, scalaMajor: Long)) if scalaMajor < 12 =>
|
||||
Seq("-source", "1.7", "-target", "1.7")
|
||||
case _ =>
|
||||
Seq("-source", "1.8", "-target", "1.8")
|
||||
}
|
||||
}
|
||||
}
|
||||
// resolvers ++= Seq(
|
||||
// Resolver.sonatypeRepo("snapshots"),
|
||||
// Resolver.sonatypeRepo("releases")
|
||||
// )
|
||||
|
||||
name := "QUASAR"
|
||||
// val chiselVersion = "3.5.2"
|
||||
|
||||
version := "3.3.0"
|
||||
// lazy val root = (project in file("."))
|
||||
// .settings(
|
||||
// name := "QUASAR",
|
||||
// libraryDependencies ++= Seq(
|
||||
// "edu.berkeley.cs" %% "chisel3" % chiselVersion,
|
||||
// "edu.berkeley.cs" %% "chisel-iotesters" % "2.5.2",
|
||||
// "edu.berkeley.cs" %% "chiseltest" % "0.5.2"
|
||||
// ),
|
||||
// scalacOptions ++= Seq(
|
||||
// "-language:reflectiveCalls",
|
||||
// "-deprecation",
|
||||
// "-feature",
|
||||
// "-Xcheckinit",
|
||||
// "-P:chiselplugin:genBundleElements",
|
||||
// "-Ymacro-annotations",
|
||||
// ),
|
||||
// addCompilerPlugin("edu.berkeley.cs" % "chisel3-plugin" % chiselVersion cross CrossVersion.full),
|
||||
// )
|
||||
|
||||
scalaVersion := "2.12.10"
|
||||
ThisBuild / scalaVersion := "2.12.10"
|
||||
ThisBuild / version := "3.3.0"
|
||||
ThisBuild / organization := "COLIN"
|
||||
|
||||
// Making the main-class
|
||||
mainClass in (Compile, run) := Some("wrapper")
|
||||
|
||||
crossScalaVersions := Seq("2.12.10", "2.11.12")
|
||||
mainClass in (Compile, run) := Some("QUASAR_Wrp")
|
||||
|
||||
resolvers ++= Seq(
|
||||
Resolver.sonatypeRepo("snapshots"),
|
||||
Resolver.sonatypeRepo("releases")
|
||||
)
|
||||
|
||||
addCompilerPlugin("org.scalamacros" % "paradise" % "2.1.0" cross CrossVersion.full)
|
||||
|
||||
// Provide a managed dependency on X if -DXVersion="" is supplied on the command line.
|
||||
val defaultVersions = Seq(
|
||||
"chisel-iotesters" -> "1.4.1+",
|
||||
"chiseltest" -> "0.2.1+"
|
||||
lazy val root = (project in file("."))
|
||||
.settings(
|
||||
name := "QUASAR",
|
||||
libraryDependencies ++= Seq(
|
||||
"edu.berkeley.cs" %% "chisel-iotesters" % "1.4.1",
|
||||
"edu.berkeley.cs" %% "chiseltest" % "0.2.1+"
|
||||
),
|
||||
scalacOptions ++= Seq(
|
||||
"-Xsource:2.11"
|
||||
),
|
||||
addCompilerPlugin(
|
||||
"org.scalamacros" % "paradise" % "2.1.0" cross CrossVersion.full
|
||||
)
|
||||
)
|
||||
|
||||
libraryDependencies ++= defaultVersions.map { case (dep, ver) =>
|
||||
"edu.berkeley.cs" %% dep % sys.props.getOrElse(dep + "Version", ver) }
|
||||
|
||||
scalacOptions ++= scalacOptionsVersion(scalaVersion.value)
|
||||
|
||||
javacOptions ++= javacOptionsVersion(scalaVersion.value)
|
||||
|
|
|
@ -1 +0,0 @@
|
|||
sbt.version = 1.3.10
|
|
@ -1 +0,0 @@
|
|||
logLevel := Level.Warn
|
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
|
@ -1 +0,0 @@
|
|||
sbt.internal.DslEntry
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue